/dts-v1/; / { #address-cells = <0x1>; #size-cells = <0x1>; compatible = "xlnx,zynq-7000"; cpus { #address-cells = <0x1>; #size-cells = <0x0>; cpu@0 { compatible = "arm,cortex-a9"; device_type = "cpu"; reg = <0x0>; clocks = <0x1 0x3>; clock-latency = <0x3e8>; cpu0-supply = <0x2>; operating-points = <0xa2c2a 0xf4240 0x51615 0xf4240>; }; cpu@1 { compatible = "arm,cortex-a9"; device_type = "cpu"; reg = <0x1>; clocks = <0x1 0x3>; }; }; fpga-full { compatible = "fpga-region"; fpga-mgr = <0x3>; #address-cells = <0x1>; #size-cells = <0x1>; ranges; }; pmu@f8891000 { compatible = "arm,cortex-a9-pmu"; interrupts = <0x0 0x5 0x4 0x0 0x6 0x4>; interrupt-parent = <0x4>; reg = <0xf8891000 0x1000 0xf8893000 0x1000>; }; fixedregulator { compatible = "regulator-fixed"; regulator-name = "VCCPINT"; regulator-min-microvolt = <0xf4240>; regulator-max-microvolt = <0xf4240>; regulator-boot-on; regulator-always-on; linux,phandle = <0x2>; phandle = <0x2>; }; amba { u-boot,dm-pre-reloc; compatible = "simple-bus"; #address-cells = <0x1>; #size-cells = <0x1>; interrupt-parent = <0x4>; ranges; adc@f8007100 { compatible = "xlnx,zynq-xadc-1.00.a"; reg = <0xf8007100 0x20>; interrupts = <0x0 0x7 0x4>; interrupt-parent = <0x4>; clocks = <0x1 0xc>; }; can@e0008000 { compatible = "xlnx,zynq-can-1.0"; status = "disabled"; clocks = <0x1 0x13 0x1 0x24>; clock-names = "can_clk", "pclk"; reg = <0xe0008000 0x1000>; interrupts = <0x0 0x1c 0x4>; interrupt-parent = <0x4>; tx-fifo-depth = <0x40>; rx-fifo-depth = <0x40>; }; can@e0009000 { compatible = "xlnx,zynq-can-1.0"; status = "disabled"; clocks = <0x1 0x14 0x1 0x25>; clock-names = "can_clk", "pclk"; reg = <0xe0009000 0x1000>; interrupts = <0x0 0x33 0x4>; interrupt-parent = <0x4>; tx-fifo-depth = <0x40>; rx-fifo-depth = <0x40>; }; gpio@e000a000 { compatible = "xlnx,zynq-gpio-1.0"; #gpio-cells = <0x2>; clocks = <0x1 0x2a>; gpio-controller; interrupt-controller; #interrupt-cells = <0x2>; interrupt-parent = <0x4>; interrupts = <0x0 0x14 0x4>; reg = <0xe000a000 0x1000>; emio-gpio-width = <0x40>; gpio-mask-high = <0x0>; gpio-mask-low = <0x5600>; }; i2c@e0004000 { compatible = "cdns,i2c-r1p10"; status = "okay"; clocks = <0x1 0x26>; interrupt-parent = <0x4>; interrupts = <0x0 0x19 0x4>; reg = <0xe0004000 0x1000>; #address-cells = <0x1>; #size-cells = <0x0>; clock-frequency = <0x61a80>; }; i2c@e0005000 { compatible = "cdns,i2c-r1p10"; status = "disabled"; clocks = <0x1 0x27>; interrupt-parent = <0x4>; interrupts = <0x0 0x30 0x4>; reg = <0xe0005000 0x1000>; #address-cells = <0x1>; #size-cells = <0x0>; }; interrupt-controller@f8f01000 { compatible = "arm,cortex-a9-gic"; #interrupt-cells = <0x3>; interrupt-controller; reg = <0xf8f01000 0x1000 0xf8f00100 0x100>; num_cpus = <0x2>; num_interrupts = <0x60>; linux,phandle = <0x4>; phandle = <0x4>; }; cache-controller@f8f02000 { compatible = "arm,pl310-cache"; reg = <0xf8f02000 0x1000>; interrupts = <0x0 0x2 0x4>; arm,data-latency = <0x3 0x2 0x2>; arm,tag-latency = <0x2 0x2 0x2>; cache-unified; cache-level = <0x2>; }; memory-controller@f8006000 { compatible = "xlnx,zynq-ddrc-a05"; reg = <0xf8006000 0x1000>; }; ocmc@f800c000 { compatible = "xlnx,zynq-ocmc-1.0"; interrupt-parent = <0x4>; interrupts = <0x0 0x3 0x4>; reg = <0xf800c000 0x1000>; }; serial@e0000000 { compatible = "xlnx,xuartps", "cdns,uart-r1p8"; status = "okay"; clocks = <0x1 0x17 0x1 0x28>; clock-names = "uart_clk", "pclk"; reg = <0xe0000000 0x1000>; interrupts = <0x0 0x1b 0x4>; device_type = "serial"; port-number = <0x0>; }; serial@e0001000 { compatible = "xlnx,xuartps", "cdns,uart-r1p8"; status = "okay"; clocks = <0x1 0x18 0x1 0x29>; clock-names = "uart_clk", "pclk"; reg = <0xe0001000 0x1000>; interrupts = <0x0 0x32 0x4>; device_type = "serial"; port-number = <0x4>; }; spi@e0006000 { compatible = "xlnx,zynq-spi-r1p6"; reg = <0xe0006000 0x1000>; status = "disabled"; interrupt-parent = <0x4>; interrupts = <0x0 0x1a 0x4>; clocks = <0x1 0x19 0x1 0x22>; clock-names = "ref_clk", "pclk"; #address-cells = <0x1>; #size-cells = <0x0>; }; spi@e0007000 { compatible = "xlnx,zynq-spi-r1p6"; reg = <0xe0007000 0x1000>; status = "okay"; interrupt-parent = <0x4>; interrupts = <0x0 0x31 0x4>; clocks = <0x1 0x1a 0x1 0x23>; clock-names = "ref_clk", "pclk"; #address-cells = <0x1>; #size-cells = <0x0>; is-decoded-cs = <0x0>; num-cs = <0x1>; }; spi@e000d000 { clock-names = "ref_clk", "pclk"; clocks = <0x1 0xa 0x1 0x2b>; compatible = "xlnx,zynq-qspi-1.0"; status = "disabled"; interrupt-parent = <0x4>; interrupts = <0x0 0x13 0x4>; reg = <0xe000d000 0x1000>; #address-cells = <0x1>; #size-cells = <0x0>; }; memory-controller@e000e000 { #address-cells = <0x1>; #size-cells = <0x1>; status = "disabled"; clock-names = "memclk", "aclk"; clocks = <0x1 0xb 0x1 0x2c>; compatible = "arm,pl353-smc-r2p1"; interrupt-parent = <0x4>; interrupts = <0x0 0x12 0x4>; ranges; reg = <0xe000e000 0x1000>; flash@e1000000 { status = "disabled"; compatible = "arm,pl353-nand-r2p1"; reg = <0xe1000000 0x1000000>; #address-cells = <0x1>; #size-cells = <0x1>; }; flash@e2000000 { status = "disabled"; compatible = "cfi-flash"; reg = <0xe2000000 0x2000000>; #address-cells = <0x1>; #size-cells = <0x1>; }; }; ethernet@e000b000 { compatible = "cdns,zynq-gem", "cdns,gem"; reg = <0xe000b000 0x1000>; status = "okay"; interrupts = <0x0 0x16 0x4>; clocks = <0x1 0x1e 0x1 0x1e 0x1 0xd>; clock-names = "pclk", "hclk", "tx_clk"; #address-cells = <0x1>; #size-cells = <0x0>; phy-mode = "rgmii-id"; xlnx,ptp-enet-clock = <0x69f6bcb>; local-mac-address = [00 0a 35 00 1e 53]; fixed-link { speed = <0x3e8>; full-duplex; }; }; ethernet@e000c000 { compatible = "cdns,zynq-gem", "cdns,gem"; reg = <0xe000c000 0x1000>; status = "disabled"; interrupts = <0x0 0x2d 0x4>; clocks = <0x1 0x1f 0x1 0x1f 0x1 0xe>; clock-names = "pclk", "hclk", "tx_clk"; #address-cells = <0x1>; #size-cells = <0x0>; }; mmc@e0100000 { compatible = "arasan,sdhci-8.9a"; status = "okay"; clock-names = "clk_xin", "clk_ahb"; clocks = <0x1 0x15 0x1 0x20>; interrupt-parent = <0x4>; interrupts = <0x0 0x18 0x4>; reg = <0xe0100000 0x1000>; xlnx,has-cd = <0x1>; xlnx,has-power = <0x0>; xlnx,has-wp = <0x1>; }; mmc@e0101000 { compatible = "arasan,sdhci-8.9a"; status = "okay"; clock-names = "clk_xin", "clk_ahb"; clocks = <0x1 0x16 0x1 0x21>; interrupt-parent = <0x4>; interrupts = <0x0 0x2f 0x4>; reg = <0xe0101000 0x1000>; xlnx,has-cd = <0x1>; xlnx,has-power = <0x0>; xlnx,has-wp = <0x1>; }; slcr@f8000000 { u-boot,dm-pre-reloc; #address-cells = <0x1>; #size-cells = <0x1>; compatible = "xlnx,zynq-slcr", "syscon", "simple-mfd"; reg = <0xf8000000 0x1000>; ranges; linux,phandle = <0x5>; phandle = <0x5>; clkc@100 { u-boot,dm-pre-reloc; #clock-cells = <0x1>; compatible = "xlnx,ps7-clkc"; fclk-enable = <0xf>; clock-output-names = "armpll", "ddrpll", "iopll", "cpu_6or4x", "cpu_3or2x", "cpu_2x", "cpu_1x", "ddr2x", "ddr3x", "dci", "lqspi", "smc", "pcap", "gem0", "gem1", "fclk0", "fclk1", "fclk2", "fclk3", "can0", "can1", "sdio0", "sdio1", "uart0", "uart1", "spi0", "spi1", "dma", "usb0_aper", "usb1_aper", "gem0_aper", "gem1_aper", "sdio0_aper", "sdio1_aper", "spi0_aper", "spi1_aper", "can0_aper", "can1_aper", "i2c0_aper", "i2c1_aper", "uart0_aper", "uart1_aper", "gpio_aper", "lqspi_aper", "smc_aper", "swdt", "dbg_trc", "dbg_apb"; reg = <0x100 0x100>; ps-clk-frequency = <0x1fca055>; linux,phandle = <0x1>; phandle = <0x1>; }; rstc@200 { compatible = "xlnx,zynq-reset"; reg = <0x200 0x48>; #reset-cells = <0x1>; syscon = <0x5>; }; pinctrl@700 { compatible = "xlnx,pinctrl-zynq"; reg = <0x700 0x200>; syscon = <0x5>; }; }; dmac@f8003000 { compatible = "arm,pl330", "arm,primecell"; reg = <0xf8003000 0x1000>; interrupt-parent = <0x4>; interrupt-names = "abort", "dma0", "dma1", "dma2", "dma3", "dma4", "dma5", "dma6", "dma7"; interrupts = <0x0 0xd 0x4 0x0 0xe 0x4 0x0 0xf 0x4 0x0 0x10 0x4 0x0 0x11 0x4 0x0 0x28 0x4 0x0 0x29 0x4 0x0 0x2a 0x4 0x0 0x2b 0x4>; #dma-cells = <0x1>; #dma-channels = <0x8>; #dma-requests = <0x4>; clocks = <0x1 0x1b>; clock-names = "apb_pclk"; }; devcfg@f8007000 { compatible = "xlnx,zynq-devcfg-1.0"; interrupt-parent = <0x4>; interrupts = <0x0 0x8 0x4>; reg = <0xf8007000 0x100>; clocks = <0x1 0xc 0x1 0xf 0x1 0x10 0x1 0x11 0x1 0x12>; clock-names = "ref_clk", "fclk0", "fclk1", "fclk2", "fclk3"; syscon = <0x5>; linux,phandle = <0x3>; phandle = <0x3>; }; efuse@f800d000 { compatible = "xlnx,zynq-efuse"; reg = <0xf800d000 0x20>; }; timer@f8f00200 { compatible = "arm,cortex-a9-global-timer"; reg = <0xf8f00200 0x20>; interrupts = <0x1 0xb 0x301>; interrupt-parent = <0x4>; clocks = <0x1 0x4>; }; timer@f8001000 { interrupt-parent = <0x4>; interrupts = <0x0 0xa 0x4 0x0 0xb 0x4 0x0 0xc 0x4>; compatible = "cdns,ttc"; clocks = <0x1 0x6>; reg = <0xf8001000 0x1000>; }; timer@f8002000 { interrupt-parent = <0x4>; interrupts = <0x0 0x25 0x4 0x0 0x26 0x4 0x0 0x27 0x4>; compatible = "cdns,ttc"; clocks = <0x1 0x6>; reg = <0xf8002000 0x1000>; }; timer@f8f00600 { interrupt-parent = <0x4>; interrupts = <0x1 0xd 0x301>; compatible = "arm,cortex-a9-twd-timer"; reg = <0xf8f00600 0x20>; clocks = <0x1 0x4>; }; usb@e0002000 { compatible = "xlnx,zynq-usb-2.20a", "chipidea,usb2"; status = "disabled"; clocks = <0x1 0x1c>; interrupt-parent = <0x4>; interrupts = <0x0 0x15 0x4>; reg = <0xe0002000 0x1000>; phy_type = "ulpi"; }; usb@e0003000 { compatible = "xlnx,zynq-usb-2.20a", "chipidea,usb2"; status = "disabled"; clocks = <0x1 0x1d>; interrupt-parent = <0x4>; interrupts = <0x0 0x2c 0x4>; reg = <0xe0003000 0x1000>; phy_type = "ulpi"; }; watchdog@f8005000 { clocks = <0x1 0x2d>; compatible = "cdns,wdt-r1p2"; interrupt-parent = <0x4>; interrupts = <0x0 0x9 0x1>; reg = <0xf8005000 0x1000>; timeout-sec = <0xa>; }; fabric@40000000 { compatible = "generic-uio"; reg = <0x40000000 0x10000>; interrupt-parent = <0x4>; interrupts = <0x0 0x1d 0x4>; }; }; amba_pl { #address-cells = <0x1>; #size-cells = <0x1>; compatible = "simple-bus"; ranges; BERT@40090000 { clock-names = "s01_axi_aclk", "m00_axi_aclk", "s00_axi_aclk"; clocks = <0x1 0xf 0x1 0xf 0x1 0xf>; compatible = "xlnx,BERT-1.0"; interrupt-names = "event_irq"; interrupt-parent = <0x4>; interrupts = <0x0 0x3b 0x4>; reg = <0x40090000 0x10000 0x400a0000 0x10000>; xlnx,bert-burst-length-byte = <0xc>; xlnx,m00-axi-addr-width = <0x20>; xlnx,m00-axi-aruser-width = <0x1>; xlnx,m00-axi-awuser-width = <0x1>; xlnx,m00-axi-burst-len = <0x10>; xlnx,m00-axi-buser-width = <0x1>; xlnx,m00-axi-data-width = <0x20>; xlnx,m00-axi-id-width = <0x1>; xlnx,m00-axi-ruser-width = <0x1>; xlnx,m00-axi-target-slave-base-addr = <0x40000000>; xlnx,m00-axi-wuser-width = <0x1>; xlnx,s00-axi-addr-width = <0xa>; xlnx,s00-axi-data-width = <0x20>; xlnx,s01-axi-addr-width = <0xa>; xlnx,s01-axi-data-width = <0x20>; }; CUB@40050000 { clock-names = "s_axi_aclk"; clocks = <0x1 0xf>; compatible = "xlnx,CUB-1.0"; interrupt-names = "cub_int"; interrupt-parent = <0x4>; interrupts = <0x0 0x38 0x4>; reg = <0x40050000 0x10000>; }; GPIO@40080000 { clock-names = "s_axi_aclk"; clocks = <0x1 0xf>; compatible = "xlnx,GPIO-2.0"; interrupt-names = "gpio_interrupt_line"; interrupt-parent = <0x4>; interrupts = <0x0 0x39 0x4>; reg = <0x40080000 0x10000>; }; Smartcard@400b0000 { clock-names = "s_axi_aclk"; clocks = <0x1 0xf>; compatible = "xlnx,Smartcard-1.0"; reg = <0x400b0000 0x10000>; }; axi_build_version_store@40130000 { clock-names = "s00_axi_aclk"; clocks = <0x1 0xf>; compatible = "xlnx,axi-build-version-store-1.0"; reg = <0x40130000 0x10000>; xlnx,s00-axi-addr-width = <0x4>; xlnx,s00-axi-data-width = <0x20>; }; axi_quad_spi@40120000 { bits-per-word = <0x8>; clock-names = "ext_spi_clk", "s_axi_aclk"; clocks = <0x1 0xf 0x1 0xf>; compatible = "xlnx,axi-quad-spi-3.2", "xlnx,xps-spi-2.00.a"; fifo-size = <0x0>; num-cs = <0x2>; reg = <0x40120000 0x10000>; xlnx,num-ss-bits = <0x2>; xlnx,spi-mode = <0x0>; #address-cells = <0x1>; #size-cells = <0x0>; flash@0 { compatible = "n25q512a", "micron,m25p80"; reg = <0x0>; #address-cells = <0x1>; #size-cells = <0x1>; spi-max-frequency = <0x2faf080>; partition@0x00000000 { label = "boot"; reg = <0x0 0x40000>; }; partition@0x00040000 { label = "bootenv"; reg = <0x40000 0x20000>; }; partition@0x00060000 { label = "kernel"; reg = <0x60000 0x600000>; }; }; }; axi_quad_spi@400c0000 { bits-per-word = <0x8>; clock-names = "ext_spi_clk", "s_axi_aclk"; clocks = <0x1 0xf 0x1 0xf>; compatible = "xlnx,axi-quad-spi-3.2", "xlnx,xps-spi-2.00.a"; fifo-size = <0x10>; interrupt-names = "ip2intc_irpt"; interrupt-parent = <0x4>; interrupts = <0x0 0x23 0x1>; num-cs = <0x1>; reg = <0x400c0000 0x10000>; xlnx,num-ss-bits = <0x1>; xlnx,spi-mode = <0x0>; }; serial@400f0000 { clock-frequency = <0x3f940b0>; clock-names = "s_axi_aclk"; clocks = <0x1 0xf>; compatible = "xlnx,xps-uart16550-2.00.a", "ns16550a"; current-speed = <0x1c200>; device_type = "serial"; interrupt-names = "ip2intc_irpt"; interrupt-parent = <0x4>; interrupts = <0x0 0x24 0x4>; port-number = <0x1>; reg = <0x400f0000 0x10000>; reg-offset = <0x1000>; reg-shift = <0x2>; xlnx,external-xin-clk-hz = <0x17d7840>; xlnx,external-xin-clk-hz-d = <0x19>; xlnx,has-external-rclk = <0x0>; xlnx,has-external-xin = <0x0>; xlnx,is-a-16550 = <0x1>; xlnx,s-axi-aclk-freq-hz-d = "66.666672"; xlnx,use-modem-ports = <0x1>; xlnx,use-user-ports = <0x1>; }; serial@400e0000 { clock-frequency = <0x3f940b0>; clock-names = "s_axi_aclk"; clocks = <0x1 0xf>; compatible = "xlnx,xps-uart16550-2.00.a", "ns16550a"; current-speed = <0x1c200>; device_type = "serial"; interrupt-names = "ip2intc_irpt"; interrupt-parent = <0x4>; interrupts = <0x0 0x34 0x4>; port-number = <0x2>; reg = <0x400e0000 0x10000>; reg-offset = <0x1000>; reg-shift = <0x2>; xlnx,external-xin-clk-hz = <0x17d7840>; xlnx,external-xin-clk-hz-d = <0x19>; xlnx,has-external-rclk = <0x0>; xlnx,has-external-xin = <0x0>; xlnx,is-a-16550 = <0x0>; xlnx,s-axi-aclk-freq-hz-d = "66.666672"; xlnx,use-modem-ports = <0x1>; xlnx,use-user-ports = <0x1>; }; serial@400d0000 { clock-frequency = <0x3f940b0>; clock-names = "s_axi_aclk"; clocks = <0x1 0xf>; compatible = "xlnx,xps-uart16550-2.00.a", "ns16550a"; current-speed = <0x1c200>; device_type = "serial"; interrupt-names = "ip2intc_irpt"; interrupt-parent = <0x4>; interrupts = <0x0 0x35 0x4>; port-number = <0x3>; reg = <0x400d0000 0x10000>; reg-offset = <0x1000>; reg-shift = <0x2>; xlnx,external-xin-clk-hz = <0x17d7840>; xlnx,external-xin-clk-hz-d = <0x19>; xlnx,has-external-rclk = <0x0>; xlnx,has-external-xin = <0x0>; xlnx,is-a-16550 = <0x1>; xlnx,s-axi-aclk-freq-hz-d = "66.666672"; xlnx,use-modem-ports = <0x1>; xlnx,use-user-ports = <0x1>; }; galileo2_pcore_BUILD@40100000 { clock-names = "mhz100", "s00_axi_aclk", "s01_axi_aclk"; clocks = <0x6 0x1 0xf 0x1 0xf>; compatible = "xlnx,galileo2-pcore-BUILD-1.0"; interrupt-names = "galileo2_pcore_interrupt"; interrupt-parent = <0x4>; interrupts = <0x0 0x22 0x4>; reg = <0x40100000 0x10000 0x40110000 0x10000>; xlnx,s00-axi-addr-width = <0x9>; xlnx,s00-axi-data-width = <0x20>; xlnx,s01-axi-addr-width = <0xd>; xlnx,s01-axi-data-width = <0x20>; }; misc_clk_0 { #clock-cells = <0x0>; clock-frequency = <0x5f5e100>; compatible = "fixed-clock"; linux,phandle = <0x6>; phandle = <0x6>; }; logicvc@40030000 { clock-names = "M_AXI_ACLK", "S_AXI_ACLK"; clocks = <0x1 0x12 0x1 0xf>; compatible = "xlnx,logicvc-3.02.b"; interrupt-names = "interrupt"; interrupt-parent = <0x4>; interrupts = <0x0 0x37 0x4>; reg = <0x40030000 0x10000>; xlnx,buffer-0-offset = <0x400>; xlnx,buffer-1-offset = <0x400>; xlnx,buffer-2-offset = <0x400>; xlnx,buffer-3-offset = <0x400>; xlnx,buffer-4-offset = <0x400>; xlnx,display-color-space = <0x0>; xlnx,display-interface = <0x4>; xlnx,dvi-clk-mode = <0x0>; xlnx,e-data-width = <0x18>; xlnx,e-layer = <0x0>; xlnx,increase-fifo = <0x1>; xlnx,ip-license-check = <0x1>; xlnx,ip-license-type = <0x2>; xlnx,ip-major-revision = <0x3>; xlnx,ip-minor-revision = <0x2>; xlnx,ip-patch-level = <0x1>; xlnx,ip-time-before-break = <0x0>; xlnx,layer-0-alpha-mode = <0x0>; xlnx,layer-0-data-width = <0x10>; xlnx,layer-0-offset = <0x0>; xlnx,layer-0-type = <0x0>; xlnx,layer-1-alpha-mode = <0x0>; xlnx,layer-1-data-width = <0x10>; xlnx,layer-1-offset = <0x800>; xlnx,layer-1-type = <0x0>; xlnx,layer-2-alpha-mode = <0x0>; xlnx,layer-2-data-width = <0x10>; xlnx,layer-2-offset = <0x1000>; xlnx,layer-2-type = <0x0>; xlnx,layer-3-alpha-mode = <0x0>; xlnx,layer-3-data-width = <0x10>; xlnx,layer-3-offset = <0x1800>; xlnx,layer-3-type = <0x0>; xlnx,layer-4-alpha-mode = <0x0>; xlnx,layer-4-data-width = <0x10>; xlnx,layer-4-offset = <0x2000>; xlnx,layer-4-type = <0x0>; xlnx,lvds-data-width = <0x3>; xlnx,mem-burst = <0x4>; xlnx,mem-byte-swap = <0x0>; xlnx,mem-little-endian = <0x1>; xlnx,mplb-awidth = <0x20>; xlnx,mplb-dwidth = <0x40>; xlnx,mplb-num-masters = <0x8>; xlnx,mplb-priority = <0x3>; xlnx,mplb-smallest-slave = <0x20>; xlnx,num-of-layers = <0x1>; xlnx,opb-awidth = <0x20>; xlnx,opb-dwidth = <0x20>; xlnx,pixel-data-width = <0x10>; xlnx,readable-regs = <0x1>; xlnx,reg-byte-swap = <0x0>; xlnx,regs-interface = <0x2>; xlnx,row-stride = <0x800>; xlnx,use-background = <0x0>; xlnx,use-e-parallel-input = <0x0>; xlnx,use-e-vclk-bufgmux = <0x0>; xlnx,use-multiplier = <0x2>; xlnx,use-size-position = <0x1>; xlnx,use-vclk2 = <0x1>; xlnx,use-xtreme-dsp = <0x0>; xlnx,vclk-period = <0x61a8>; xlnx,vmem-interface = <0x2>; xlnx,xcolor = <0x0>; xlnx,xmb-data-bus-width = <0x40>; }; }; chosen { bootargs = "root=/dev/mmcblk0p2 rw earlyprintk rootfstype=ext4 rootwait devtmpfs.mount=1 uio_pdrv_genirq.of_id=\"generic-uio\" clk_ignore_unused"; stdout-path = "serial0:115200n8"; pynq_board = "None"; }; aliases { ethernet0 = "/amba/ethernet@e000b000"; i2c0 = "/amba/i2c@e0004000"; serial0 = "/amba/serial@e0000000"; serial1 = "/amba_pl/serial@400e0000"; serial2 = "/amba_pl/serial@400d0000"; serial3 = "/amba_pl/serial@400f0000"; serial4 = "/amba/serial@e0001000"; spi0 = "/amba_pl/axi_quad_spi@40120000"; spi1 = "/amba_pl/axi_quad_spi@400c0000"; spi2 = "/amba/spi@e0007000"; }; memory { device_type = "memory"; reg = <0x0 0x20000000>; }; xlnk { compatible = "xlnx,xlnk-1.0"; clock-names = "xclk0", "xclk1", "xclk2", "xclk3"; clocks = <0x1 0xf 0x1 0x10 0x1 0x11 0x1 0x12>; }; };