#include "ap_axi_sdata.h" #include "hls_stream.h" #include #include #define N 10 void StreamData(hls::stream< ap_axis<32,2,5,6> > & B, int d_i[N]) { #pragma HLS INTERFACE mode=ap_fifo port = d_i #pragma HLS INTERFACE axis port = B #pragma HLS INTERFACE s_axilite port = return int i ; ap_axis<32, 2, 5, 6> tmp; while(1) { tmp.data = d_i[i]; tmp.last = (i= N-1) ; B.write(tmp) ; if ( i == N || tmp.last) { i = 0 ; break ; } else{ B.write(tmp) ; } i++ ; } }