****** Vitis HLS - High-Level Synthesis from C, C++ and OpenCL v2022.1 (64-bit) **** SW Build 3526262 on Mon Apr 18 15:47:01 MDT 2022 **** IP Build 3524634 on Mon Apr 18 20:55:01 MDT 2022 ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. source /tools/Xilinx/Vitis_HLS/2022.1/scripts/vitis_hls/hls.tcl -notrace INFO: [HLS 200-10] Running '/tools/Xilinx/Vitis_HLS/2022.1/bin/unwrapped/lnx64.o/vitis_hls' INFO: [HLS 200-10] For user 'p260' on host 'p260ubuntu18' (Linux_x86_64 version 5.4.0-144-generic) on Fri Mar 24 17:14:16 +03 2023 INFO: [HLS 200-10] On os Ubuntu 18.04.4 LTS INFO: [HLS 200-10] In directory '/home/p260/PYNQ/boards/ip/hls' Sourcing Tcl script 'trace_cntrl_64/script.tcl' INFO: [HLS 200-1510] Running: open_project trace_cntrl_64 INFO: [HLS 200-10] Opening project '/home/p260/PYNQ/boards/ip/hls/trace_cntrl_64'. INFO: [HLS 200-1510] Running: set_top trace_cntrl_64 INFO: [HLS 200-1510] Running: add_files trace_cntrl_64/trace_cntrl_64.cpp INFO: [HLS 200-10] Adding design file 'trace_cntrl_64/trace_cntrl_64.cpp' to the project INFO: [HLS 200-1510] Running: open_solution solution1 INFO: [HLS 200-10] Opening solution '/home/p260/PYNQ/boards/ip/hls/trace_cntrl_64/solution1'. INFO: [HLS 200-1505] Using flow_target 'vivado' Resolution: For help on HLS 200-1505 see www.xilinx.com/cgi-bin/docs/rdoc?v=2022.1;t=hls+guidance;d=200-1505.html INFO: [HLS 200-1464] Running solution command: config_interface -m_axi_latency=0 INFO: [HLS 200-1510] Running: set_part xc7z020clg484-1 ERROR: [HLS 200-1023] Part 'xc7z020clg484-1' is not installed. command 'create_platform' returned error code while executing "source trace_cntrl_64/script.tcl" ("uplevel" body line 1) invoked from within "uplevel \#0 [list source $arg] " INFO: [HLS 200-112] Total CPU user time: 0.64 seconds. Total CPU system time: 0.18 seconds. Total elapsed time: 0.72 seconds; peak allocated memory: 608.496 MB. INFO: [Common 17-206] Exiting vitis_hls at Fri Mar 24 17:14:17 2023...