INFO: [SIM 2] *************** CSIM start *************** INFO: [SIM 4] CSIM will launch GCC as the compiler. Compiling ../../../../resize/xf_resize_tb.cpp in debug mode csim.mk:75: recipe for target 'obj/xf_resize_tb.o' failed In file included from C:/Xilinx/Vivado/2019.2/include/floating_point_v7_0_bitacc_cmodel.h:143:0, from C:/Xilinx/Vivado/2019.2/include/hls_fpo.h:186, from C:/Xilinx/Vivado/2019.2/include/hls_half.h:44, from C:/Xilinx/Vivado/2019.2/include/etc/ap_private.h:90, from C:/Xilinx/Vivado/2019.2/include/ap_common.h:641, from C:/Xilinx/Vivado/2019.2/include/ap_int.h:54, from ../../../../resize/xf_axis_config.h:36, from ../../../../resize/xf_resize_tb.cpp:36: C:/Xilinx/Vivado/2019.2/include/gmp.h:62:0: warning: "__GMP_LIBGMP_DLL" redefined #define __GMP_LIBGMP_DLL 0 In file included from C:/Xilinx/Vivado/2019.2/include/hls_fpo.h:186:0, from C:/Xilinx/Vivado/2019.2/include/hls_half.h:44, from C:/Xilinx/Vivado/2019.2/include/etc/ap_private.h:90, from C:/Xilinx/Vivado/2019.2/include/ap_common.h:641, from C:/Xilinx/Vivado/2019.2/include/ap_int.h:54, from ../../../../resize/xf_axis_config.h:36, from ../../../../resize/xf_resize_tb.cpp:36: C:/Xilinx/Vivado/2019.2/include/floating_point_v7_0_bitacc_cmodel.h:135:0: note: this is the location of the previous definition #define __GMP_LIBGMP_DLL 1 In file included from ../../../../vitis_lib/vision/L1/include/common/xf_common.hpp:20:0, from ../../../../resize/xf_axis_config.h:37, from ../../../../resize/xf_resize_tb.cpp:36: ../../../../vitis_lib/vision/L1/include/common/xf_structs.hpp:1028:86: error: wrong number of template arguments (2, should be 1) hls::stream, XFCVDEPTH>& dout, ^ In file included from ../../../../resize/xf_axis_config.h:35:0, from ../../../../resize/xf_resize_tb.cpp:36: C:/Xilinx/Vivado/2019.2/include/hls_stream.h:98:7: note: provided for 'template class hls::stream' class stream ^~~~~~ In file included from ../../../../vitis_lib/vision/L1/include/common/xf_common.hpp:20:0, from ../../../../resize/xf_axis_config.h:37, from ../../../../resize/xf_resize_tb.cpp:36: ../../../../vitis_lib/vision/L1/include/common/xf_structs.hpp:1065:80: error: wrong number of template arguments (2, should be 1) static void MatStream2Mat(hls::stream, XFCVDEPTH>& din, ^ In file included from ../../../../resize/xf_axis_config.h:35:0, from ../../../../resize/xf_resize_tb.cpp:36: C:/Xilinx/Vivado/2019.2/include/hls_stream.h:98:7: note: provided for 'template class hls::stream' class stream ^~~~~~ In file included from ../../../../vitis_lib/vision/L1/include/common/xf_common.hpp:20:0, from ../../../../resize/xf_axis_config.h:37, from ../../../../resize/xf_resize_tb.cpp:36: ../../../../vitis_lib/vision/L1/include/common/xf_structs.hpp:1082:80: error: wrong number of template arguments (2, should be 1) hls::stream, XFCVDEPTH>& dout, ^ In file included from ../../../../resize/xf_axis_config.h:35:0, from ../../../../resize/xf_resize_tb.cpp:36: C:/Xilinx/Vivado/2019.2/include/hls_stream.h:98:7: note: provided for 'template class hls::stream' class stream ^~~~~~ In file included from ../../../../vitis_lib/vision/L1/include/common/xf_common.hpp:20:0, from ../../../../resize/xf_axis_config.h:37, from ../../../../resize/xf_resize_tb.cpp:36: ../../../../vitis_lib/vision/L1/include/common/xf_structs.hpp:1108:74: error: wrong number of template arguments (2, should be 1) hls::stream, XFCVDEPTH>& dout, ^ In file included from ../../../../resize/xf_axis_config.h:35:0, from ../../../../resize/xf_resize_tb.cpp:36: C:/Xilinx/Vivado/2019.2/include/hls_stream.h:98:7: note: provided for 'template class hls::stream' class stream ^~~~~~ In file included from ../../../../vitis_lib/vision/L1/include/common/xf_common.hpp:20:0, from ../../../../resize/xf_axis_config.h:37, from ../../../../resize/xf_resize_tb.cpp:36: ../../../../vitis_lib/vision/L1/include/common/xf_structs.hpp:1123:74: error: wrong number of template arguments (2, should be 1) hls::stream, XFCVDEPTH>& dout, ^ In file included from ../../../../resize/xf_axis_config.h:35:0, from ../../../../resize/xf_resize_tb.cpp:36: C:/Xilinx/Vivado/2019.2/include/hls_stream.h:98:7: note: provided for 'template class hls::stream' class stream ^~~~~~ In file included from ../../../../vitis_lib/vision/L1/include/common/xf_common.hpp:20:0, from ../../../../resize/xf_axis_config.h:37, from ../../../../resize/xf_resize_tb.cpp:36: ../../../../vitis_lib/vision/L1/include/common/xf_structs.hpp: In static member function 'static void xf::cv::MMIterIn::AxiStream2MatStream(hls::stream >&, int&, int, int, int)': ../../../../vitis_lib/vision/L1/include/common/xf_structs.hpp:1060:18: error: request for member 'write' in 'dout', which is of non-class type 'int' dout.write(localbuffer); ^~~~~ ../../../../vitis_lib/vision/L1/include/common/xf_structs.hpp: In static member function 'static void xf::cv::MMIterIn::MatStream2Mat(int&, ap_uint*, int, int)': ../../../../vitis_lib/vision/L1/include/common/xf_structs.hpp:1077:27: error: request for member 'read' in 'din', which is of non-class type 'int' dout[i] = din.read(); ^~~~ ../../../../vitis_lib/vision/L1/include/common/xf_structs.hpp: In static member function 'static void xf::cv::MMIterIn::AxiStream2Mat(hls::stream >&, ap_uint*, int, int)': ../../../../vitis_lib/vision/L1/include/common/xf_structs.hpp:1100:58: error: wrong number of template arguments (2, should be 1) hls::stream, XFCVDEPTH> ldata; ^ In file included from ../../../../resize/xf_axis_config.h:35:0, from ../../../../resize/xf_resize_tb.cpp:36: C:/Xilinx/Vivado/2019.2/include/hls_stream.h:98:7: note: provided for 'template class hls::stream' class stream ^~~~~~ In file included from ../../../../vitis_lib/vision/L1/include/common/xf_common.hpp:20:0, from ../../../../resize/xf_axis_config.h:37, from ../../../../resize/xf_resize_tb.cpp:36: ../../../../vitis_lib/vision/L1/include/common/xf_structs.hpp: At global scope: ../../../../vitis_lib/vision/L1/include/common/xf_structs.hpp:1216:80: error: wrong number of template arguments (2, should be 1) hls::stream, XFCVDEPTH>& dout, ^ In file included from ../../../../resize/xf_axis_config.h:35:0, from ../../../../resize/xf_resize_tb.cpp:36: C:/Xilinx/Vivado/2019.2/include/hls_stream.h:98:7: note: provided for 'template class hls::stream' class stream ^~~~~~ In file included from ../../../../vitis_lib/vision/L1/include/common/xf_common.hpp:20:0, from ../../../../resize/xf_axis_config.h:37, from ../../../../resize/xf_resize_tb.cpp:36: ../../../../vitis_lib/vision/L1/include/common/xf_structs.hpp:1231:86: error: wrong number of template arguments (2, should be 1) static void MatStream2AxiStream(hls::stream, XFCVDEPTH>& din, ^ In file included from ../../../../resize/xf_axis_config.h:35:0, from ../../../../resize/xf_resize_tb.cpp:36: C:/Xilinx/Vivado/2019.2/include/hls_stream.h:98:7: note: provided for 'template class hls::stream' class stream ^~~~~~ In file included from ../../../../vitis_lib/vision/L1/include/common/xf_common.hpp:20:0, from ../../../../resize/xf_axis_config.h:37, from ../../../../resize/xf_resize_tb.cpp:36: ../../../../vitis_lib/vision/L1/include/common/xf_structs.hpp:1274:80: error: wrong number of template arguments (2, should be 1) static void Mat2AxiStream(hls::stream, XFCVDEPTH>& din, ^ In file included from ../../../../resize/xf_axis_config.h:35:0, from ../../../../resize/xf_resize_tb.cpp:36: C:/Xilinx/Vivado/2019.2/include/hls_stream.h:98:7: note: provided for 'template class hls::stream' class stream ^~~~~~ In file included from ../../../../vitis_lib/vision/L1/include/common/xf_common.hpp:20:0, from ../../../../resize/xf_axis_config.h:37, from ../../../../resize/xf_resize_tb.cpp:36: ../../../../vitis_lib/vision/L1/include/common/xf_structs.hpp:1330:74: error: wrong number of template arguments (2, should be 1) static void Mat2Axi(hls::stream, XFCVDEPTH>& din, ^ In file included from ../../../../resize/xf_axis_config.h:35:0, from ../../../../resize/xf_resize_tb.cpp:36: C:/Xilinx/Vivado/2019.2/include/hls_stream.h:98:7: note: provided for 'template class hls::stream' class stream ^~~~~~ In file included from ../../../../vitis_lib/vision/L1/include/common/xf_common.hpp:20:0, from ../../../../resize/xf_axis_config.h:37, from ../../../../resize/xf_resize_tb.cpp:36: ../../../../vitis_lib/vision/L1/include/common/xf_structs.hpp:1353:74: error: wrong number of template arguments (2, should be 1) static void Mat2Axi(hls::stream, XFCVDEPTH>& din, ^ In file included from ../../../../resize/xf_axis_config.h:35:0, from ../../../../resize/xf_resize_tb.cpp:36: C:/Xilinx/Vivado/2019.2/include/hls_stream.h:98:7: note: provided for 'template class hls::stream' class stream ^~~~~~ In file included from ../../../../vitis_lib/vision/L1/include/common/xf_common.hpp:20:0, from ../../../../resize/xf_axis_config.h:37, from ../../../../resize/xf_resize_tb.cpp:36: ../../../../vitis_lib/vision/L1/include/common/xf_structs.hpp: In static member function 'static void xf::cv::MMIterOut::Mat2MatStream(ap_uint*, int&, int, int)': ../../../../vitis_lib/vision/L1/include/common/xf_structs.hpp:1227:18: error: request for member 'write' in 'dout', which is of non-class type 'int' dout.write(din[i]); ^~~~~ ../../../../vitis_lib/vision/L1/include/common/xf_structs.hpp: In static member function 'static void xf::cv::MMIterOut::MatStream2AxiStream(int&, hls::stream >&, int, int, int)': ../../../../vitis_lib/vision/L1/include/common/xf_structs.hpp:1254:46: error: request for member 'read' in 'din', which is of non-class type 'int' ap_uint val = din.read(); ^~~~ ../../../../vitis_lib/vision/L1/include/common/xf_structs.hpp: In static member function 'static void xf::cv::MMIterOut::Mat2AxiStream(ap_uint*, hls::stream >&, int, int)': ../../../../vitis_lib/vision/L1/include/common/xf_structs.hpp:1293:58: error: wrong number of template arguments (2, should be 1) hls::stream, XFCVDEPTH> ldata; ^ In file included from ../../../../resize/xf_axis_config.h:35:0, from ../../../../resize/xf_resize_tb.cpp:36: C:/Xilinx/Vivado/2019.2/include/hls_stream.h:98:7: note: provided for 'template class hls::stream' class stream ^~~~~~ make: *** [obj/xf_resize_tb.o] Error 1 ERR: [SIM 100] 'csim_design' failed: compilation error(s). INFO: [SIM 3] *************** CSIM finish ***************