Xilinx Zynq MP First Stage Boot Loader Release 2020.2 May 17 2022 - 02:15:39 U-Boot 2020.01 (May 17 2022 - 02:13:31 +0000) Board: Xilinx ZynqMP DRAM: 4 GiB PMUFW: v1.1 EL Level: EL2 Chip ID: zu48dr NAND: 0 MiB MMC: mmc@ff160000: 0 In: serial@ff010000 Out: serial@ff010000 Err: serial@ff010000 Bootmode: SD_MODE Reset reason: EXTERNAL Net: ZYNQ GEM: ff0c0000, mdio bus ff0c0000, phyaddr 15, interface rgmii-id Warning: ethernet@ff0c0000 using MAC address from ROM eth0: ethernet@ff0c0000 Hit any key to stop autoboot: 0 switch to partitions #0, OK mmc0 is current device Scanning mmc 0:1... Found U-Boot script /boot.scr 1636 bytes read in 12 ms (132.8 KiB/s) ## Executing script at 20000000 18322060 bytes read in 1189 ms (14.7 MiB/s) ## Loading kernel from FIT Image at 10000000 ... Using 'conf@1' configuration Trying 'kernel@0' kernel subimage Description: Linux Kernel Type: Kernel Image Compression: uncompressed Data Start: 0x100000d4 Data Size: 18280960 Bytes = 17.4 MiB Architecture: AArch64 OS: Linux Load Address: 0x00080000 Entry Point: 0x00080000 Hash algo: sha1 Hash value: 926050bdcea37bf2e81158932672aff86de0f7e9 Verifying Hash Integrity ... sha1+ OK ## Loading fdt from FIT Image at 10000000 ... Using 'conf@1' configuration Trying 'fdt@0' fdt subimage Description: Flattened Device Tree blob Type: Flat Device Tree Compression: uncompressed Data Start: 0x1116f3cc Data Size: 39273 Bytes = 38.4 KiB Architecture: AArch64 Hash algo: sha1 Hash value: 570c07902fb72210f1c887193c80ac27c4bd4bfa Verifying Hash Integrity ... sha1+ OK Booting using the fdt blob at 0x1116f3cc Loading Kernel Image Loading Device Tree to 000000000fff3000, end 000000000ffff968 ... OK Starting kernel ... [ 0.000000] Booting Linux on physical CPU 0x0000000000 [0x410fd034] [ 0.000000] Linux version 5.4.0-xilinx-v2020.2 (oe-user@oe-host) (gcc version 9.2.0 (GCC)) #1 SMP Mon May 16 22:40:25 UTC 2022 [ 0.000000] Machine model: xlnx,zynqmp [ 0.000000] efi: Getting EFI parameters from FDT: [ 0.000000] efi: UEFI not found. [ 0.000000] cma: Reserved 128 MiB at 0x0000000077c00000 [ 0.000000] psci: probing for conduit method from DT. [ 0.000000] psci: PSCIv1.1 detected in firmware. [ 0.000000] psci: Using standard PSCI v0.2 function IDs [ 0.000000] psci: MIGRATE_INFO_TYPE not supported. [ 0.000000] psci: SMC Calling Convention v1.1 [ 0.000000] percpu: Embedded 22 pages/cpu s50392 r8192 d31528 u90112 [ 0.000000] Detected VIPT I-cache on CPU0 [ 0.000000] CPU features: detected: ARM erratum 845719 [ 0.000000] Speculative Store Bypass Disable mitigation not required [ 0.000000] Built 1 zonelists, mobility grouping on. Total pages: 1031940 [ 0.000000] Kernel command line: root=/dev/mmcblk0p2 rw earlyprintk rootfstype=ext4 rootwait devtmpfs.mount=1 uio_pdrv_genirq.of_id="generic-uio" clk_ignore_unused [ 0.000000] Dentry cache hash table entries: 524288 (order: 10, 4194304 bytes, linear) [ 0.000000] Inode-cache hash table entries: 262144 (order: 9, 2097152 bytes, linear) [ 0.000000] mem auto-init: stack:off, heap alloc:off, heap free:off [ 0.000000] software IO TLB: mapped [mem 0x73c00000-0x77c00000] (64MB) [ 0.000000] Memory: 3897396K/4193280K available (12476K kernel code, 764K rwdata, 3752K rodata, 768K init, 577K bss, 164812K reserved, 131072K cma-reserved) [ 0.000000] rcu: Hierarchical RCU implementation. [ 0.000000] rcu: RCU event tracing is enabled. [ 0.000000] rcu: RCU calculated value of scheduler-enlistment delay is 25 jiffies. [ 0.000000] NR_IRQS: 64, nr_irqs: 64, preallocated irqs: 0 [ 0.000000] GIC: Adjusting CPU interface base to 0x00000000f902f000 [ 0.000000] GIC: Using split EOI/Deactivate mode [ 0.000000] random: get_random_bytes called from start_kernel+0x2a8/0x42c with crng_init=0 [ 0.000000] arch_timer: cp15 timer(s) running at 33.33MHz (phys). [ 0.000000] clocksource: arch_sys_counter: mask: 0xffffffffffffff max_cycles: 0x7b00c3848, max_idle_ns: 440795203375 ns [ 0.000003] sched_clock: 56 bits at 33MHz, resolution 30ns, wraps every 2199023255545ns [ 0.000300] Console: colour dummy device 80x25 [ 0.000490] printk: console [tty0] enabled [ 0.000512] Calibrating delay loop (skipped), value calculated using timer frequency.. 66.66 BogoMIPS (lpj=133333) [ 0.000528] pid_max: default: 32768 minimum: 301 [ 0.000673] Mount-cache hash table entries: 8192 (order: 4, 65536 bytes, linear) [ 0.000694] Mountpoint-cache hash table entries: 8192 (order: 4, 65536 bytes, linear) [ 0.001634] ASID allocator initialised with 32768 entries [ 0.001694] rcu: Hierarchical SRCU implementation. [ 0.001943] EFI services will not be available. [ 0.002073] smp: Bringing up secondary CPUs ... [ 0.002408] Detected VIPT I-cache on CPU1 [ 0.002439] CPU1: Booted secondary processor 0x0000000001 [0x410fd034] [ 0.002793] Detected VIPT I-cache on CPU2 [ 0.002812] CPU2: Booted secondary processor 0x0000000002 [0x410fd034] [ 0.003141] Detected VIPT I-cache on CPU3 [ 0.003160] CPU3: Booted secondary processor 0x0000000003 [0x410fd034] [ 0.003208] smp: Brought up 1 node, 4 CPUs [ 0.003248] SMP: Total of 4 processors activated. [ 0.003256] CPU features: detected: 32-bit EL0 Support [ 0.003265] CPU features: detected: CRC32 instructions [ 0.003301] CPU: All CPU(s) started at EL2 [ 0.003318] alternatives: patching kernel code [ 0.004403] devtmpfs: initialized [ 0.008518] clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 7645041785100000 ns [ 0.008538] futex hash table entries: 1024 (order: 4, 65536 bytes, linear) [ 0.011600] xor: measuring software checksum speed [ 0.048013] 8regs : 2375.000 MB/sec [ 0.088039] 32regs : 2725.000 MB/sec [ 0.128072] arm64_neon: 2365.000 MB/sec [ 0.128080] xor: using function: 32regs (2725.000 MB/sec) [ 0.128124] pinctrl core: initialized pinctrl subsystem [ 0.128472] DMI not present or invalid. [ 0.128707] NET: Registered protocol family 16 [ 0.129693] DMA: preallocated 256 KiB pool for atomic allocations [ 0.129719] audit: initializing netlink subsys (disabled) [ 0.129851] audit: type=2000 audit(0.128:1): state=initialized audit_enabled=0 res=1 [ 0.130186] cpuidle: using governor menu [ 0.130362] hw-breakpoint: found 6 breakpoint and 4 watchpoint registers. [ 0.130505] Serial: AMBA PL011 UART driver [ 0.142044] HugeTLB registered 1.00 GiB page size, pre-allocated 0 pages [ 0.142059] HugeTLB registered 32.0 MiB page size, pre-allocated 0 pages [ 0.142069] HugeTLB registered 2.00 MiB page size, pre-allocated 0 pages [ 0.142079] HugeTLB registered 64.0 KiB page size, pre-allocated 0 pages [ 1.205189] cryptd: max_cpu_qlen set to 1000 [ 1.229309] DRBG: Continuing without Jitter RNG [ 1.304846] raid6: neonx8 gen() 1547 MB/s [ 1.372868] raid6: neonx8 xor() 1466 MB/s [ 1.440916] raid6: neonx4 gen() 1490 MB/s [ 1.508953] raid6: neonx4 xor() 1430 MB/s [ 1.576999] raid6: neonx2 gen() 1133 MB/s [ 1.645038] raid6: neonx2 xor() 1189 MB/s [ 1.713150] raid6: neonx1 gen() 739 MB/s [ 1.781128] raid6: neonx1 xor() 895 MB/s [ 1.849186] raid6: int64x8 gen() 1166 MB/s [ 1.917245] raid6: int64x8 xor() 763 MB/s [ 1.985294] raid6: int64x4 gen() 984 MB/s [ 2.053315] raid6: int64x4 xor() 740 MB/s [ 2.121357] raid6: int64x2 gen() 683 MB/s [ 2.189419] raid6: int64x2 xor() 600 MB/s [ 2.257555] raid6: int64x1 gen() 452 MB/s [ 2.325500] raid6: int64x1 xor() 460 MB/s [ 2.325508] raid6: using algorithm neonx8 gen() 1547 MB/s [ 2.325516] raid6: .... xor() 1466 MB/s, rmw enabled [ 2.325524] raid6: using neon recovery algorithm [ 2.325850] iommu: Default domain type: Translated [ 2.326108] SCSI subsystem initialized [ 2.326253] usbcore: registered new interface driver usbfs [ 2.326284] usbcore: registered new interface driver hub [ 2.326315] usbcore: registered new device driver usb [ 2.326353] mc: Linux media interface: v0.10 [ 2.326378] videodev: Linux video capture interface: v2.00 [ 2.326401] pps_core: LinuxPPS API ver. 1 registered [ 2.326409] pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti [ 2.326426] PTP clock support registered [ 2.326447] EDAC MC: Ver: 3.0.0 [ 2.326810] zynqmp-ipi-mbox mailbox@ff990400: Registered ZynqMP IPI mbox with TX/RX channels. [ 2.326979] FPGA manager framework [ 2.327108] Advanced Linux Sound Architecture Driver Initialized. [ 2.327376] Bluetooth: Core ver 2.22 [ 2.327404] NET: Registered protocol family 31 [ 2.327412] Bluetooth: HCI device and connection manager initialized [ 2.327423] Bluetooth: HCI socket layer initialized [ 2.327433] Bluetooth: L2CAP socket layer initialized [ 2.327447] Bluetooth: SCO socket layer initialized [ 2.327788] clocksource: Switched to clocksource arch_sys_counter [ 2.327882] VFS: Disk quotas dquot_6.6.0 [ 2.327929] VFS: Dquot-cache hash table entries: 512 (order 0, 4096 bytes) [ 2.331927] NET: Registered protocol family 2 [ 2.332284] tcp_listen_portaddr_hash hash table entries: 2048 (order: 3, 32768 bytes, linear) [ 2.332336] TCP established hash table entries: 32768 (order: 6, 262144 bytes, linear) [ 2.332550] TCP bind hash table entries: 32768 (order: 7, 524288 bytes, linear) [ 2.332936] TCP: Hash tables configured (established 32768 bind 32768) [ 2.333006] UDP hash table entries: 2048 (order: 4, 65536 bytes, linear) [ 2.333086] UDP-Lite hash table entries: 2048 (order: 4, 65536 bytes, linear) [ 2.333240] NET: Registered protocol family 1 [ 2.333491] RPC: Registered named UNIX socket transport module. [ 2.333500] RPC: Registered udp transport module. [ 2.333508] RPC: Registered tcp transport module. [ 2.333515] RPC: Registered tcp NFSv4.1 backchannel transport module. [ 2.333764] PCI: CLS 0 bytes, default 64 [ 2.362245] Initialise system trusted keyrings [ 2.362339] workingset: timestamp_bits=46 max_order=20 bucket_order=0 [ 2.362808] squashfs: version 4.0 (2009/01/31) Phillip Lougher [ 2.363129] NFS: Registering the id_resolver key type [ 2.363146] Key type id_resolver registered [ 2.363153] Key type id_legacy registered [ 2.363166] nfs4filelayout_init: NFSv4 File Layout Driver Registering... [ 2.363190] jffs2: version 2.2. (NAND) (SUMMARY) © 2001-2006 Red Hat, Inc. [ 2.376345] NET: Registered protocol family 38 [ 2.376356] Key type asymmetric registered [ 2.376365] Asymmetric key parser 'x509' registered [ 2.376393] Block layer SCSI generic (bsg) driver version 0.4 loaded (major 245) [ 2.376459] io scheduler mq-deadline registered [ 2.376468] io scheduler kyber registered [ 2.378147] ps_pcie_dma init() [ 2.402066] Serial: 8250/16550 driver, 4 ports, IRQ sharing disabled [ 2.403077] Serial: AMBA driver [ 2.405215] cacheinfo: Unable to detect cache hierarchy for CPU 0 [ 2.409429] brd: module loaded [ 2.413691] loop: module loaded [ 2.414430] mtdoops: mtd device (mtddev=name/number) must be supplied [ 2.415983] libphy: Fixed MDIO Bus: probed [ 2.417057] tun: Universal TUN/TAP device driver, 1.6 [ 2.417141] CAN device driver interface [ 2.418846] usbcore: registered new interface driver cdc_acm [ 2.418856] cdc_acm: USB Abstract Control Model driver for USB modems and ISDN adapters [ 2.418884] usbcore: registered new interface driver cdc_wdm [ 2.418921] usbcore: registered new interface driver usb-storage [ 2.418973] usbcore: registered new interface driver usbserial_generic [ 2.418997] usbserial: USB Serial support registered for generic [ 2.419025] usbcore: registered new interface driver usb_serial_simple [ 2.419047] usbserial: USB Serial support registered for carelink [ 2.419068] usbserial: USB Serial support registered for zio [ 2.419088] usbserial: USB Serial support registered for funsoft [ 2.419108] usbserial: USB Serial support registered for flashloader [ 2.419128] usbserial: USB Serial support registered for google [ 2.419151] usbserial: USB Serial support registered for libtransistor [ 2.419172] usbserial: USB Serial support registered for vivopay [ 2.419193] usbserial: USB Serial support registered for moto_modem [ 2.419213] usbserial: USB Serial support registered for motorola_tetra [ 2.419234] usbserial: USB Serial support registered for novatel_gps [ 2.419254] usbserial: USB Serial support registered for hp4x [ 2.419276] usbserial: USB Serial support registered for suunto [ 2.419297] usbserial: USB Serial support registered for siemens_mpi [ 2.419687] rtc_zynqmp ffa60000.rtc: registered as rtc0 [ 2.419733] i2c /dev entries driver [ 2.421607] device-mapper: ioctl: 4.41.0-ioctl (2019-09-16) initialised: dm-devel@redhat.com [ 2.421668] Bluetooth: HCI UART driver ver 2.3 [ 2.421678] Bluetooth: HCI UART protocol H4 registered [ 2.421686] Bluetooth: HCI UART protocol BCSP registered [ 2.421707] Bluetooth: HCI UART protocol LL registered [ 2.421715] Bluetooth: HCI UART protocol ATH3K registered [ 2.421734] Bluetooth: HCI UART protocol Three-wire (H5) registered [ 2.421776] Bluetooth: HCI UART protocol Intel registered [ 2.421798] Bluetooth: HCI UART protocol QCA registered [ 2.421828] usbcore: registered new interface driver bcm203x [ 2.421857] usbcore: registered new interface driver bpa10x [ 2.421887] usbcore: registered new interface driver bfusb [ 2.421918] usbcore: registered new interface driver btusb [ 2.421961] usbcore: registered new interface driver ath3k [ 2.422074] EDAC MC: ECC not enabled [ 2.422216] EDAC DEVICE0: Giving out device to module zynqmp-ocm-edac controller zynqmp_ocm: DEV ff960000.memory-controller (INTERRUPT) [ 2.422651] sdhci: Secure Digital Host Controller Interface driver [ 2.422660] sdhci: Copyright(c) Pierre Ossman [ 2.422667] sdhci-pltfm: SDHCI platform and OF driver helper [ 2.422963] ledtrig-cpu: registered to indicate activity on CPUs [ 2.423013] zynqmp_firmware_probe Platform Management API v1.1 [ 2.423024] zynqmp_firmware_probe Trustzone version v1.0 [ 2.446837] alg: No test for xilinx-zynqmp-aes (zynqmp-aes) [ 2.446996] zynqmp_aes zynqmp_aes: AES Successfully Registered [ 2.446996] [ 2.447161] alg: No test for xilinx-keccak-384 (zynqmp-keccak-384) [ 2.447412] alg: No test for xilinx-zynqmp-rsa (zynqmp-rsa) [ 2.447662] usbcore: registered new interface driver usbhid [ 2.447671] usbhid: USB HID core driver [ 2.447876] xlnk xlnk: Major 242 [ 2.447967] xlnk xlnk: xlnk driver loaded [ 2.447977] xlnk xlnk: xlnk_pdev is not null [ 2.449761] ARM CCI_400_r1 PMU driver probed [ 2.450219] fpga_manager fpga0: Xilinx ZynqMP FPGA Manager registered [ 2.450614] usbcore: registered new interface driver snd-usb-audio [ 2.451373] pktgen: Packet Generator for packet performance testing. Version: 2.75 [ 2.451858] IPVS: Registered protocols (TCP, UDP) [ 2.451879] IPVS: Connection hash table configured (size=4096, memory=64Kbytes) [ 2.451978] IPVS: ipvs loaded. [ 2.451986] IPVS: [rr] scheduler registered. [ 2.452115] Initializing XFRM netlink socket [ 2.452200] NET: Registered protocol family 10 [ 2.452643] Segment Routing with IPv6 [ 2.452756] sit: IPv6, IPv4 and MPLS over IPv4 tunneling driver [ 2.453076] NET: Registered protocol family 17 [ 2.453094] NET: Registered protocol family 15 [ 2.453116] bridge: filtering via arp/ip/ip6tables is no longer available by default. Update your scripts to load br_netfilter if you need this. [ 2.453130] can: controller area network core (rev 20170425 abi 9) [ 2.453162] NET: Registered protocol family 29 [ 2.453171] can: raw protocol (rev 20170425) [ 2.453179] can: broadcast manager protocol (rev 20170425 t) [ 2.453190] can: netlink gateway (rev 20190810) max_hops=1 [ 2.453264] Bluetooth: RFCOMM TTY layer initialized [ 2.453277] Bluetooth: RFCOMM socket layer initialized [ 2.453295] Bluetooth: RFCOMM ver 1.11 [ 2.453310] Bluetooth: BNEP (Ethernet Emulation) ver 1.3 [ 2.453318] Bluetooth: BNEP filters: protocol multicast [ 2.453328] Bluetooth: BNEP socket layer initialized [ 2.453336] Bluetooth: HIDP (Human Interface Emulation) ver 1.2 [ 2.453346] Bluetooth: HIDP socket layer initialized [ 2.453458] 9pnet: Installing 9P2000 support [ 2.453480] Key type dns_resolver registered [ 2.453769] registered taskstats version 1 [ 2.453778] Loading compiled-in X.509 certificates [ 2.454669] Btrfs loaded, crc32c=crc32c-generic [ 2.462689] ff010000.serial: ttyPS0 at MMIO 0xff010000 (irq = 40, base_baud = 6249999) is a xuartps [ 3.800390] printk: console [ttyPS0] enabled [ 3.804971] of-fpga-region fpga-full: FPGA Region probed [ 3.811391] xilinx-dpdma fd4c0000.dma: Xilinx DPDMA engine is probed [ 3.817960] xilinx-zynqmp-dma fd500000.dma: ZynqMP DMA driver Probe success [ 3.825066] xilinx-zynqmp-dma fd510000.dma: ZynqMP DMA driver Probe success [ 3.832175] xilinx-zynqmp-dma fd520000.dma: ZynqMP DMA driver Probe success [ 3.839281] xilinx-zynqmp-dma fd530000.dma: ZynqMP DMA driver Probe success [ 3.846396] xilinx-zynqmp-dma fd540000.dma: ZynqMP DMA driver Probe success [ 3.853503] xilinx-zynqmp-dma fd550000.dma: ZynqMP DMA driver Probe success [ 3.860610] xilinx-zynqmp-dma fd560000.dma: ZynqMP DMA driver Probe success [ 3.867718] xilinx-zynqmp-dma fd570000.dma: ZynqMP DMA driver Probe success [ 3.874908] xilinx-zynqmp-dma ffa80000.dma: ZynqMP DMA driver Probe success [ 3.882015] xilinx-zynqmp-dma ffa90000.dma: ZynqMP DMA driver Probe success [ 3.889121] xilinx-zynqmp-dma ffaa0000.dma: ZynqMP DMA driver Probe success [ 3.896234] xilinx-zynqmp-dma ffab0000.dma: ZynqMP DMA driver Probe success [ 3.903336] xilinx-zynqmp-dma ffac0000.dma: ZynqMP DMA driver Probe success [ 3.910446] xilinx-zynqmp-dma ffad0000.dma: ZynqMP DMA driver Probe success [ 3.917561] xilinx-zynqmp-dma ffae0000.dma: ZynqMP DMA driver Probe success [ 3.924671] xilinx-zynqmp-dma ffaf0000.dma: ZynqMP DMA driver Probe success [ 3.931996] xilinx-psgtr fd400000.zynqmp_phy: Lane:1 type:8 protocol:4 pll_locked:yes [ 3.941142] zynqmp_clk_divider_set_rate() set divider failed for adma_ref_div1, ret = -13 [ 3.950371] xilinx-dp-snd-codec fd4a0000.zynqmp-display:zynqmp_dp_snd_codec0: Failed to get required clock freq [ 3.960482] xilinx-dp-snd-codec: probe of fd4a0000.zynqmp-display:zynqmp_dp_snd_codec0 failed with error -22 [ 3.970551] xilinx-dp-snd-pcm zynqmp_dp_snd_pcm0: Xilinx DisplayPort Sound PCM probed [ 3.978610] xilinx-dp-snd-pcm zynqmp_dp_snd_pcm1: Xilinx DisplayPort Sound PCM probed [ 3.986666] xilinx-dp-snd-card fd4a0000.zynqmp-display:zynqmp_dp_snd_card: ASoC: failed to init link xilinx-dp0: -517 [ 3.997356] OF: graph: no port node found in /amba/zynqmp-display@fd4a0000 [ 4.004331] [drm] Supports vblank timestamp caching Rev 2 (21.10.2013). [ 4.010945] [drm] No driver support for vblank timestamp query. [ 4.016931] xlnx-drm xlnx-drm.0: bound fd4a0000.zynqmp-display (ops 0xffff800010d7faa8) [ 5.103804] [drm] Cannot find any crtc or sizes [ 5.108582] [drm] Initialized xlnx 1.0.0 20130509 for fd4a0000.zynqmp-display on minor 0 [ 5.116705] zynqmp-display fd4a0000.zynqmp-display: ZynqMP DisplayPort Subsystem driver probed [ 5.126758] ------------[ cut here ]------------ [ 5.131393] /amba/spi@ff050000/spidev@0: buggy DT: spidev listed directly in DT [ 5.138758] WARNING: CPU: 0 PID: 38 at drivers/spi/spidev.c:723 spidev_probe+0xf8/0x1c8 [ 5.146774] Modules linked in: [ 5.149839] CPU: 0 PID: 38 Comm: kworker/0:1 Not tainted 5.4.0-xilinx-v2020.2 #1 [ 5.157243] Hardware name: xlnx,zynqmp (DT) [ 5.161436] Workqueue: events deferred_probe_work_func [ 5.166582] pstate: 60000005 (nZCv daif -PAN -UAO) [ 5.171381] pc : spidev_probe+0xf8/0x1c8 [ 5.175312] lr : spidev_probe+0xf8/0x1c8 [ 5.179243] sp : ffff8000113fb7d0 [ 5.182568] x29: ffff8000113fb7d0 x28: ffff00087aa22300 [ 5.187890] x27: ffff00087aaf9810 x26: ffff00087968c400 [ 5.193209] x25: 0000000000000000 x24: 000000000000001b [ 5.198531] x23: ffff80001118dcb0 x22: 0000000000000000 [ 5.203853] x21: ffff80001118dc90 x20: ffff000879691800 [ 5.209172] x19: 0000000000000000 x18: 0000000000000010 [ 5.214493] x17: 0000000000000000 x16: 0000000000000001 [ 5.219815] x15: ffff00087aba9668 x14: 0720072007200720 [ 5.225134] x13: 0720072007200720 x12: 0720072007200720 [ 5.230456] x11: 0720072007200720 x10: 0720072007200720 [ 5.235777] x9 : 0720072007200720 x8 : 000000000000011f [ 5.241099] x7 : 0720072007200720 x6 : ffff00087a829f00 [ 5.246418] x5 : ffff8000105df9a0 x4 : 0000000000000000 [ 5.251739] x3 : 0000000000000000 x2 : 00000000ffffffff [ 5.257061] x1 : c02602c9ffc5b200 x0 : 0000000000000000 [ 5.262382] Call trace: [ 5.264839] spidev_probe+0xf8/0x1c8 [ 5.268424] spi_drv_probe+0x7c/0xd8 [ 5.272008] really_probe+0xd8/0x2f8 [ 5.275593] driver_probe_device+0x54/0xe8 [ 5.279699] __device_attach_driver+0x80/0xb8 [ 5.284066] bus_for_each_drv+0x74/0xc0 [ 5.287910] __device_attach+0xdc/0x138 [ 5.291754] device_initial_probe+0x10/0x18 [ 5.295946] bus_probe_device+0x90/0x98 [ 5.299793] device_add+0x334/0x600 [ 5.303292] spi_add_device+0xac/0x170 [ 5.307049] of_register_spi_device+0x204/0x388 [ 5.311590] spi_register_controller+0x4b4/0x718 [ 5.316215] cdns_spi_probe+0x28c/0x368 [ 5.320062] platform_drv_probe+0x50/0xa0 [ 5.324080] really_probe+0xd8/0x2f8 [ 5.327664] driver_probe_device+0x54/0xe8 [ 5.331771] __device_attach_driver+0x80/0xb8 [ 5.336136] bus_for_each_drv+0x74/0xc0 [ 5.339982] __device_attach+0xdc/0x138 [ 5.343826] device_initial_probe+0x10/0x18 [ 5.348018] bus_probe_device+0x90/0x98 [ 5.351864] deferred_probe_work_func+0x6c/0xa0 [ 5.356404] process_one_work+0x1c4/0x338 [ 5.360422] worker_thread+0x260/0x488 [ 5.364181] kthread+0x120/0x128 [ 5.367418] ret_from_fork+0x10/0x18 [ 5.371001] ---[ end trace 48e881259a9b32fd ]--- [ 5.376187] macb ff0c0000.ethernet: Not enabling partial store and forward [ 5.383712] libphy: MACB_mii_bus: probed [ 5.389361] TI DP83867 ff0c0000.ethernet-ffffffff:0f: attached PHY driver [TI DP83867] (mii_bus:phy_addr=ff0c0000.ethernet-ffffffff:0f, irq=POLL) [ 5.402430] macb ff0c0000.ethernet eth0: Cadence GEM rev 0x50070106 at 0xff0c0000 irq 29 (fc:c2:3d:5a:cb:8c) [ 5.412544] xilinx-axipmon ffa00000.perf-monitor: Probed Xilinx APM [ 5.419118] xilinx-axipmon fd0b0000.perf-monitor: Probed Xilinx APM [ 5.425632] xilinx-axipmon fd490000.perf-monitor: Probed Xilinx APM [ 5.432167] xilinx-axipmon ffa10000.perf-monitor: Probed Xilinx APM [ 5.439248] dwc3 fe200000.dwc3: Failed to get clk 'ref': -2 [ 5.445410] xilinx-psgtr fd400000.zynqmp_phy: Lane:2 type:0 protocol:3 pll_locked:yes [ 5.456840] dwc3 fe300000.dwc3: Failed to get clk 'ref': -2 [ 5.462991] xilinx-psgtr fd400000.zynqmp_phy: Lane:3 type:1 protocol:3 pll_locked:yes [ 5.473282] xhci-hcd xhci-hcd.0.auto: xHCI Host Controller [ 5.478798] xhci-hcd xhci-hcd.0.auto: new USB bus registered, assigned bus number 1 [ 5.486634] xhci-hcd xhci-hcd.0.auto: hcc params 0x0238f625 hci version 0x100 quirks 0x0000000202010810 [ 5.496073] xhci-hcd xhci-hcd.0.auto: irq 48, io mem 0xfe300000 [ 5.502275] usb usb1: New USB device found, idVendor=1d6b, idProduct=0002, bcdDevice= 5.04 [ 5.510559] usb usb1: New USB device strings: Mfr=3, Product=2, SerialNumber=1 [ 5.517802] usb usb1: Product: xHCI Host Controller [ 5.522695] usb usb1: Manufacturer: Linux 5.4.0-xilinx-v2020.2 xhci-hcd [ 5.529327] usb usb1: SerialNumber: xhci-hcd.0.auto [ 5.534479] hub 1-0:1.0: USB hub found [ 5.538476] hub 1-0:1.0: 1 port detected [ 5.542595] xhci-hcd xhci-hcd.0.auto: xHCI Host Controller [ 5.548110] xhci-hcd xhci-hcd.0.auto: new USB bus registered, assigned bus number 2 [ 5.555791] xhci-hcd xhci-hcd.0.auto: Host supports USB 3.0 SuperSpeed [ 5.562473] usb usb2: New USB device found, idVendor=1d6b, idProduct=0003, bcdDevice= 5.04 [ 5.570761] usb usb2: New USB device strings: Mfr=3, Product=2, SerialNumber=1 [ 5.578006] usb usb2: Product: xHCI Host Controller [ 5.582898] usb usb2: Manufacturer: Linux 5.4.0-xilinx-v2020.2 xhci-hcd [ 5.589530] usb usb2: SerialNumber: xhci-hcd.0.auto [ 5.594660] hub 2-0:1.0: USB hub found [ 5.598448] hub 2-0:1.0: 1 port detected [ 5.603618] ina2xx 0-0040: power monitor ina220 (Rshunt = 1000 uOhm) [ 5.610485] ina2xx 0-0041: power monitor ina220 (Rshunt = 1000 uOhm) [ 5.617363] ina2xx 0-0042: power monitor ina220 (Rshunt = 1000 uOhm) [ 5.624244] ina2xx 0-0043: power monitor ina220 (Rshunt = 10000 uOhm) [ 5.631197] ina2xx 0-0044: power monitor ina220 (Rshunt = 10000 uOhm) [ 5.638146] ina2xx 0-0045: power monitor ina220 (Rshunt = 10000 uOhm) [ 5.645101] ina2xx 0-0046: power monitor ina220 (Rshunt = 10000 uOhm) [ 5.652057] ina2xx 0-0047: power monitor ina220 (Rshunt = 10000 uOhm) [ 5.659010] ina2xx 0-0048: power monitor ina220 (Rshunt = 10000 uOhm) [ 5.665499] cdns-i2c ff020000.i2c: 400 kHz mmio ff020000 irq 31 [ 5.671911] cdns-i2c ff030000.i2c: 400 kHz mmio ff030000 irq 32 [ 5.711804] mmc0: SDHCI controller on ff160000.mmc [ff160000.mmc] using ADMA 64-bit [ 5.719715] xilinx-dp-snd-card fd4a0000.zynqmp-display:zynqmp_dp_snd_card: ASoC: failed to init link xilinx-dp0: -517 [ 5.730534] xilinx-dp-snd-card fd4a0000.zynqmp-display:zynqmp_dp_snd_card: ASoC: failed to init link xilinx-dp0: -517 [ 5.743572] rtc_zynqmp ffa60000.rtc: setting system clock to 1970-06-11T03:08:25 UTC (13921705) [ 5.752299] of_cfs_init [ 5.754775] of_cfs_init: OK [ 5.757697] cfg80211: Loading compiled-in X.509 certificates for regulatory database [ 5.790144] mmc0: new high speed SDHC card at address aaaa [ 5.796013] mmcblk0: mmc0:aaaa SC16G 14.8 GiB [ 5.804751] mmcblk0: p1 p2 [ 5.808386] xilinx-dp-snd-card fd4a0000.zynqmp-display:zynqmp_dp_snd_card: ASoC: failed to init link xilinx-dp0: -517 [ 5.875795] usb 1-1: new high-speed USB device number 2 using xhci-hcd [ 5.894421] cfg80211: Loaded X.509 cert 'sforshee: 00b28ddf47aef9cea7' [ 5.900981] clk: Not disabling unused clocks [ 5.905521] ALSA device list: [ 5.908501] No soundcards found. [ 5.912181] platform regulatory.0: Direct firmware load for regulatory.db failed with error -2 [ 5.920820] cfg80211: failed to load regulatory.db [ 6.028411] usb 1-1: New USB device found, idVendor=0424, idProduct=2742, bcdDevice= 2.06 [ 6.036635] usb 1-1: New USB device strings: Mfr=1, Product=2, SerialNumber=0 [ 6.043791] usb 1-1: Product: USB2742 [ 6.047463] usb 1-1: Manufacturer: Microchip Tech [ 6.106511] hub 1-1:1.0: USB hub found [ 6.110355] hub 1-1:1.0: 3 ports detected [ 6.171258] xilinx-dp-snd-card fd4a0000.zynqmp-display:zynqmp_dp_snd_card: ASoC: failed to init link xilinx-dp0: -517 [ 6.375825] [drm] Cannot find any crtc or sizes [ 6.393300] random: fast init done [ 6.459815] usb 1-1.3: new high-speed USB device number 3 using xhci-hcd [ 6.564645] usb 1-1.3: New USB device found, idVendor=0424, idProduct=2740, bcdDevice= 2.00 [ 6.573053] usb 1-1.3: New USB device strings: Mfr=1, Product=2, SerialNumber=0 [ 6.580383] usb 1-1.3: Product: Hub Controller [ 6.584849] usb 1-1.3: Manufacturer: Microchip Tech [ 6.590527] xilinx-dp-snd-card fd4a0000.zynqmp-display:zynqmp_dp_snd_card: ASoC: failed to init link xilinx-dp0: -517 [ 7.589108] EXT4-fs (mmcblk0p2): recovery complete [ 7.595068] EXT4-fs (mmcblk0p2): mounted filesystem with ordered data mode. Opts: (null) [ 7.603219] VFS: Mounted root (ext4 filesystem) on device 179:2. [ 7.612988] devtmpfs: mounted [ 7.616151] Freeing unused kernel memory: 768K [ 7.620659] Run /sbin/init as init process [ 8.134172] systemd[1]: System time before build time, advancing clock. [ 8.184684] systemd[1]: systemd 245.4-4ubuntu3 running in system mode. (+PAM +AUDIT +SELINUX +IMA +APPARMOR +SMACK +SYSVINIT +UTMP +LIBCRYPTSETUP +GCRYPT +GNUTLS +ACL +XZ +LZ4 +SECCOMP +BLKID +ELFUTILS +KMOD +IDN2 -IDN +PCRE2 default-hierarchy=hybrid) [ 8.207311] systemd[1]: Detected architecture arm64. Welcome to PynqLinux, based on Ubuntu 20.04! [ 8.250509] systemd[1]: Set hostname to . [ 8.783033] systemd[1]: /lib/systemd/system/dbus.socket:5: ListenStream= references a path below legacy directory /var/run/, updating /var/run/dbus/system_bus_socket → /run/dbus/system_bus_socket; please update the unit file accordingly. [ 9.065889] random: systemd: uninitialized urandom read (16 bytes read) [ 9.074484] systemd[1]: Created slice system-getty.slice. [ OK ] Created slice system-getty.slice. [ 9.091877] random: systemd: uninitialized urandom read (16 bytes read) [ 9.099192] systemd[1]: Created slice system-modprobe.slice. [ OK ] Created slice system-modprobe.slice. [ 9.119839] random: systemd: uninitialized urandom read (16 bytes read) [ 9.127121] systemd[1]: Created slice system-serial\x2dgetty.slice. [ OK ] Created slice system-serial\x2dgetty.slice. [ 9.148638] systemd[1]: Created slice User and Session Slice. [ OK ] Created slice User and Session Slice. [ 9.172005] systemd[1]: Started Dispatch Password Requests to Console Directory Watch. [ OK ] Started Dispatch Password …ts to Console Directory Watch. [ 9.195954] systemd[1]: Started Forward Password Requests to Wall Directory Watch. [ OK ] Started Forward Password R…uests to Wall Directory Watch. [ 9.219949] systemd[1]: Condition check resulted in Arbitrary Executable File Formats File System Automount Point being skipped. [ 9.231652] systemd[1]: Reached target Local Encrypted Volumes. [ OK ] Reached target Local Encrypted Volumes. [ 9.255940] systemd[1]: Reached target Remote File Systems. [ OK ] Reached target Remote File Systems. [ 9.271859] systemd[1]: Reached target Slices. [ OK ] Reached target Slices. [ 9.288234] systemd[1]: Listening on Syslog Socket. [ OK ] Listening on Syslog Socket. [ 9.303987] systemd[1]: Listening on initctl Compatibility Named Pipe. [ OK ] Listening on initctl Compatibility Named Pipe. [ 9.328337] systemd[1]: Listening on Journal Audit Socket. [ OK ] Listening on Journal Audit Socket. [ 9.344060] systemd[1]: Listening on Journal Socket (/dev/log). [ OK ] Listening on Journal Socket (/dev/log). [ 9.368107] systemd[1]: Listening on Journal Socket. [ OK ] Listening on Journal Socket. [ 9.384088] systemd[1]: Listening on udev Control Socket. [ OK ] Listening on udev Control Socket. [ 9.400006] systemd[1]: Listening on udev Kernel Socket. [ OK ] Listening on udev Kernel Socket. [ 9.418273] systemd[1]: Mounting Huge Pages File System... Mounting Huge Pages File System... [ 9.438611] systemd[1]: Mounting POSIX Message Queue File System... Mounting POSIX Message Queue File System... [ 9.458632] systemd[1]: Mounting Kernel Debug File System... Mounting Kernel Debug File System... [ 9.476134] systemd[1]: Condition check resulted in Kernel Trace File System being skipped. [ 9.488035] systemd[1]: Starting Journal Service... Starting Journal Service... [ 9.509378] systemd[1]: Starting Restore / save the current clock... Starting Restore / save the current clock... [ 9.529691] systemd[1]: Condition check resulted in Create list of static device nodes for the current kernel being skipped. [ 9.541186] systemd[1]: Condition check resulted in Load Kernel Module drm being skipped. [ 9.552416] systemd[1]: Started Nameserver information manager. [ OK ] Started Nameserver information manager. [ 9.576223] systemd[1]: Reached target Network (Pre). [ OK ] Reached target Network (Pre). [ 9.601748] systemd[1]: Condition check resulted in Set Up Additional Binary Formats being skipped. [ 9.623225] systemd[1]: Starting Load Kernel Modules... Starting Load Kernel Modules... [ 9.642519] systemd[1]: Starting Remount Root and Kernel File Systems... Starting Remount Root and Kernel File Systems... [ 9.666447] systemd[1]: Starting udev Coldplug all Devices... Starting udev Coldplug all Devices... [ 9.687859] systemd[1]: Mounted Huge Pages File System. [ OK ] Mounted Huge Pages File System. [ 9.704295] systemd[1]: Mounted POSIX Message Queue File System. [ OK ] Mounted POSIX Message Queue File System. [ 9.728389] systemd[1]: Mounted Kernel Debug File System. [ OK ] Mounted Kernel Debug File System. [ 9.745603] systemd[1]: Finished Restore / save the current clock. [ OK ] Finished Restore / save the current clock. [ 9.770838] systemd[1]: Finished Load Kernel Modules. [ OK ] Finished Load Kernel Modules. [ 9.789593] systemd[1]: Finished Remount Root and Kernel File Systems. [ OK ] Finished Remount Root and Kernel File Systems. [ 9.816361] systemd[1]: Activating swap /var/swap... Activating swap /var/swap... [ 9.832267] systemd[1]: Condition check resulted in FUSE Control File System being skipped. [ 9.843429] systemd[1]: Mounting Kernel Configuration File System... Mounting Kernel Configuration File System... [ 9.863513] systemd[1]: Condition check resulted in Rebuild Hardware Database being skipped. [ 9.872161] systemd[1]: Condition check resulted in Platform Persistent Storage Archival being skipped. [ 9.884210] systemd[1]: Starting Load/Save Random Seed... Starting Load/Save Random Seed... [ 9.902740] systemd[1]: Starting Apply Kernel Variables... [ 9.907866] Adding 524284k swap on /var/swap. Priority:-2 extents:1 across:524284k SS Starting Apply Kernel Variables... [ 9.930704] systemd[1]: Starting Create System Users... Starting Create System Users... [ 9.950969] systemd[1]: Activated swap /var/swap. [ OK ] Activated swap /var/swap. [ 9.969067] systemd[1]: Mounted Kernel Configuration File System. [ OK ] Mounted Kernel Configuration File System. [ OK ] Reached target Swap. [ OK ] Finished Apply Kernel Variables. [ OK ] Finished Create System Users. Starting Create Static Device Nodes in /dev... [ OK ] Finished Create Static Device Nodes in /dev. [ OK ] Finished udev Coldplug all Devices. [ OK ] Reached target Local File Systems (Pre). [ OK ] Reached target Local File Systems. Starting Enable support fo…l executable binary formats... Starting Helper to synchronize boot up for ifupdown... Starting udev Kernel Device Manager... [ OK ] Finished Enable support fo…nal executable binary formats. [ OK ] Started Journal Service. Starting Flush Journal to Persistent Storage... [ OK ] Finished Flush Journal to Persistent Storage. Starting Create Volatile Files and Directories... [ OK ] Started udev Kernel Device Manager. [ OK ] Finished Create Volatile Files and Directories. Starting Network Name Resolution... Starting Network Time Synchronization... Starting Update UTMP about System Boot/Shutdown... [ OK ] Finished Update UTMP about System Boot/Shutdown. [ 11.124171] zocl-drm amba:zyxclmm_drm: IRQ index 0 not found [ OK ] Found device /dev/ttyPS0. [ 11.159875] xilinx-dp-snd-card fd4a0000.zynqmp-display:zynqmp_dp_snd_card: ASoC: failed to init link xilinx-dp0: -517 [ OK ] Finished Helper to synchronize boot up for ifupdown. [ OK ] Finished Load/Save Random Seed. [ OK ] Started Network Time Synchronization. [ OK ] Started Network Name Resolution. [ OK ] Reached target Host and Network Name Lookups. [ OK ] Reached target System Time Set. [ OK ] Reached target System Time Synchronized. [ OK ] Listening on Load/Save RF …itch Status /dev/rfkill Watch. [ OK ] Started Entropy daemon using the HAVEGE algorithm. [ OK ] Reached target System Initialization. [ OK ] Started resolvconf-pull-resolved.path. [ OK ] Started Daily apt download activities. [ OK ] Started Daily apt upgrade and clean activities. [ OK ] Started Periodic ext4 Onli…ata Check for All Filesystems. [ OK ] Started Discard unused blocks once a week. [ OK ] Started Daily rotation of log files. [ OK ] Started Daily man-db regeneration. [ OK ] Started Message of the Day. [ OK ] Started Daily Cleanup of Temporary Directories. [ OK ] Reached target Paths. [ OK ] Reached target Timers. [ OK ] Listening on Avahi mDNS/DNS-SD Stack Activation Socket. [ OK ] Listening on D-Bus System Message Bus Socket. [ OK ] Listening on UUID daemon activation socket. [ OK ] Reached target Sockets. [ OK ] Reached target Basic System. Starting LSB: automatic crash report generation... Starting Avahi mDNS/DNS-SD Stack... [ OK ] Started Regular background program processing daemon. [ OK ] Started D-Bus System Message Bus. Starting Network Manager... [ OK ] Started Save initial kernel messages after boot. Starting Remove Stale Onli…t4 Metadata Check Snapshots... [ OK ] Started ifup for eth0. Starting Jupyter Notebook Server... Starting LSB: Load kernel …d to enable cpufreq scaling... Starting Dispatcher daemon for systemd-networkd... Starting Raise network interfaces... [ OK ] Started Set the CPU Frequency Scaling governor. Starting PYNQ PL Server... Starting Authorization Manager... Starting Restore /etc/reso… the ppp link was shut down... Starting Resize Filesystem on SD card... Starting resolvconf-pull-resolved.service... Starting System Logging Service... Starting Login Service... Starting USB Gadget for Networking... [ OK ] Finished Restore /etc/reso…re the ppp link was shut down. [ OK ] Finished Remove Stale Onli…ext4 Metadata Check Snapshots. [ OK ] Finished Resize Filesystem on SD card. [ OK ] Started LSB: automatic crash report generation. [ OK ] Started System Logging Service. [ OK ] Finished USB Gadget for Networking. [ OK ] Started PYNQ PL Server. [ OK ] Finished resolvconf-pull-resolved.service. [ OK ] Started LSB: Load kernel m…ded to enable cpufreq scaling. Starting LSB: set CPUFreq kernel parameters... [ OK ] Started LSB: set CPUFreq kernel parameters. [ OK ] Started Login Service. [ OK ] Started Avahi mDNS/DNS-SD Stack. [ OK ] Started Authorization Manager. [ OK ] Started Network Manager. Starting Modem Manager... Starting Network Manager Wait Online... Starting Hostname Service... [ OK ] Started Modem Manager. [ OK ] Started Dispatcher daemon for systemd-networkd. [ OK ] Started Hostname Service. Starting Network Manager Script Dispatcher Service... [ OK ] Started Network Manager Script Dispatcher Service. [ OK ] Finished Network Manager Wait Online. Stopping Network Name Resolution... [ OK ] Stopped Network Name Resolution. Starting Network Name Resolution... [ OK ] Started Network Name Resolution. Starting resolvconf-pull-resolved.service... [ OK ] Finished resolvconf-pull-resolved.service. [ OK ] Finished Raise network interfaces. [ OK ] Reached target Network. [ OK ] Reached target Network is Online. [ OK ] Started ISC DHCP IPv4 server. [ OK ] Started ISC DHCP IPv6 server. Starting Samba NMB Daemon... Starting OpenBSD Secure Shell server... Starting Permit User Sessions... [ OK ] Started Unattended Upgrades Shutdown. [ OK ] Finished Permit User Sessions. [ OK ] Started Getty on tty1. [ OK ] Started PYNQ X11 Server. [ OK ] Started Serial Getty on ttyPS0. PYNQ Linux, based on Ubuntu 20.04 pynq ttyPS0 pynq login: xilinx (automatic login) Welcome to PYNQ Linux, based on Ubuntu 20.04 (GNU/Linux 5.4.0-xilinx-v2020.2 aarch64) Last login: Wed Nov 17 20:00:03 UTC 2021 on ttyPS0 To run a command as administrator (user "root"), use "sudo ". See "man sudo_root" for details. xilinx@pynq:~$ [ 42.295405] xilinx-dp-snd-card fd4a0000.zynqmp-display:zynqmp_dp_snd_card: ASoC: failed to init link xilinx-dp0: -517 [ 42.309544] xilinx-dp-snd-card fd4a0000.zynqmp-display:zynqmp_dp_snd_card: ASoC: failed to init link xilinx-dp0: -517 [ 42.324973] xilinx-dp-snd-card fd4a0000.zynqmp-display:zynqmp_dp_snd_card: ASoC: failed to init link xilinx-dp0: -517