Cannot load overlays on TySOM-3-ZU7EV board using PYNQ 2.7.0

I have a TySOM-3-ZU7EV board and it works well with the supplied image based on PYNQ 2.5.1.
I am trying to rebuild the SD card image by myself to be able to use PYNQ 2.7.0 on it.
The board is booting successfully, window manager is up, I get an IP and can access the Jupyter notebook server.
However I cannot load any overlay:

xilinx@pynq:~$ python
Python 3.8.2 (default, Mar 13 2020, 10:14:16)
[GCC 9.3.0] on linux
Type "help", "copyright", "credits" or "license" for more information.
>>> from pynq import Overlay
>>> ol = Overlay('base.bit')
/home/xilinx/pynq/pl_server/device.py:79: UserWarning: No devices found, is the XRT environment sourced?
  warnings.warn(
Traceback (most recent call last):
  File "<stdin>", line 1, in <module>
  File "/home/xilinx/pynq/overlay.py", line 336, in __init__
    super().__init__(bitfile_name, dtbo, partial=False, device=device)
  File "/home/xilinx/pynq/bitstream.py", line 111, in __init__
    device = Device.active_device
  File "/home/xilinx/pynq/pl_server/device.py", line 94, in active_device
    raise RuntimeError("No Devices Found")
RuntimeError: No Devices Found

This is the spec file I’m using:

ARCH_TySOM-3-ZU7EV := aarch64
BSP_TySOM-3-ZU7EV := TySOM-3-ZU7EV.bsp
BITSTREAM_TySOM-3-ZU7EV := base/base.bit
STAGE4_PACKAGES_TySOM-3-ZU7EV := pynq ethernet sensorconf xrt

Steps I did to build it:

  1. removed HDMI and DDR4 PL from device tree as it causes build errors and I don’t need them for my project.
  2. downloaded focal prebuilt image from pynq site
  3. copied Aldec’s 2020.2 BSP with spec file and default notebooks from their repo to PYNQ’s boards folder.
  4. ran prebuilt script from scripts folder:
./scripts/image_from_prebuilt.sh TySOM-3-ZU7EV ../boards/TySOM-3-ZU7EV/TySOM-3-ZU7EV.bsp aarch64 ./pynq_aarch64_2_7

Here is the full boot log from the board, I noticed that xlinx_fpga_firmware.bit fails to load, not sure if it’s related:

Xilinx Zynq MP First Stage Boot Loader
Release 2020.2   Jan  6 2022  -  23:46:03
NOTICE:  ATF running on XCZU7EG/EV/silicon v4/RTL5.1 at 0xfffea000
NOTICE:  BL31: v2.2(release):xlnx_rebase_v2.2_2020.3
NOTICE:  BL31: Built : 23:47:14, Jan  6 2022

U-Boot 2020.01 (Jan 06 2022 - 23:45:02 +0000)

Board: Xilinx ZynqMP
DRAM:  8.5 GiB
PMUFW:  v1.1
EL Level:       EL2
Chip ID:        zu7e
MMC:   mmc@ff160000: 0, mmc@ff170000: 1
In:    serial@ff010000
Out:   serial@ff010000
Err:   serial@ff010000
Bootmode: SD_MODE1
Reset reason:   EXTERNAL
Net:
ZYNQ GEM: ff0d0000, mdio bus ff0d0000, phyaddr 9, interface rgmii-id

Warning: ethernet@ff0d0000 using MAC address from DT
eth0: ethernet@ff0d0000
ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr 9, interface rgmii-id
Could not get PHY for eth1: addr 9

Hit any key to stop autoboot:  0
switch to partitions #0, OK
mmc1 is current device
Scanning mmc 1:1...
Found U-Boot script /boot.scr
1760 bytes read in 12 ms (142.6 KiB/s)
## Executing script at 20000000
18941556 bytes read in 1589 ms (11.4 MiB/s)
## Loading kernel from FIT Image at 10000000 ...
   Using 'conf@1' configuration
   Trying 'kernel@0' kernel subimage
     Description:  Linux Kernel
     Type:         Kernel Image
     Compression:  uncompressed
     Data Start:   0x100000d4
     Data Size:    18897408 Bytes = 18 MiB
     Architecture: AArch64
     OS:           Linux
     Load Address: 0x00080000
     Entry Point:  0x00080000
     Hash algo:    sha1
     Hash value:   e65c7ec45e47ffceb0975b3686b001f1c4ff7b50
   Verifying Hash Integrity ... sha1+ OK
## Loading fdt from FIT Image at 10000000 ...
   Using 'conf@1' configuration
   Trying 'fdt@0' fdt subimage
     Description:  Flattened Device Tree blob
     Type:         Flat Device Tree
     Compression:  uncompressed
     Data Start:   0x11205bcc
     Data Size:    42324 Bytes = 41.3 KiB
     Architecture: AArch64
     Hash algo:    sha1
     Hash value:   7f6544c0dd8b1cd467963e5789c5206a9899e943
   Verifying Hash Integrity ... sha1+ OK
   Booting using the fdt blob at 0x11205bcc
   Loading Kernel Image
   Loading Device Tree to 000000000fff2000, end 000000000ffff553 ... OK

Starting kernel ...

[    0.000000] Booting Linux on physical CPU 0x0000000000 [0x410fd034]
[    0.000000] Linux version 5.4.0-xilinx-v2020.2 (oe-user@oe-host) (gcc version 9.2.0 (GCC)) #1 SMP Thu Jan 6 23:34:09 UTC 2022
[    0.000000] Machine model: xlnx,zynqmp
[    0.000000] efi: Getting EFI parameters from FDT:
[    0.000000] efi: UEFI not found.
[    0.000000] cma: Reserved 128 MiB at 0x0000000077c00000
[    0.000000] psci: probing for conduit method from DT.
[    0.000000] psci: PSCIv1.1 detected in firmware.
[    0.000000] psci: Using standard PSCI v0.2 function IDs
[    0.000000] psci: MIGRATE_INFO_TYPE not supported.
[    0.000000] psci: SMC Calling Convention v1.1
[    0.000000] percpu: Embedded 22 pages/cpu s50072 r8192 d31848 u90112
[    0.000000] Detected VIPT I-cache on CPU0
[    0.000000] CPU features: detected: ARM erratum 845719
[    0.000000] Speculative Store Bypass Disable mitigation not required
[    0.000000] Built 1 zonelists, mobility grouping on.  Total pages: 2193156
[    0.000000] Kernel command line: root=/dev/mmcblk1p2 rw earlyprintk rootfstype=ext4 rootwait devtmpfs.mount=1 uio_pdrv_genirq.of_id="generic-uio" clk_ignore_unused
[    0.000000] Dentry cache hash table entries: 2097152 (order: 12, 16777216 bytes, linear)
[    0.000000] Inode-cache hash table entries: 1048576 (order: 11, 8388608 bytes, linear)
[    0.000000] mem auto-init: stack:off, heap alloc:off, heap free:off
[    0.000000] software IO TLB: mapped [mem 0x73c00000-0x77c00000] (64MB)
[    0.000000] Memory: 8505776K/8911872K available (12924K kernel code, 726K rwdata, 3904K rodata, 832K init, 577K bss, 275024K reserved, 131072K cma-reserved)
[    0.000000] rcu: Hierarchical RCU implementation.
[    0.000000] rcu:     RCU event tracing is enabled.
[    0.000000] rcu: RCU calculated value of scheduler-enlistment delay is 25 jiffies.
[    0.000000] NR_IRQS: 64, nr_irqs: 64, preallocated irqs: 0
[    0.000000] GIC: Adjusting CPU interface base to 0x00000000f902f000
[    0.000000] GIC: Using split EOI/Deactivate mode
[    0.000000] random: get_random_bytes called from start_kernel+0x2a8/0x42c with crng_init=0
[    0.000000] arch_timer: cp15 timer(s) running at 50.00MHz (phys).
[    0.000000] clocksource: arch_sys_counter: mask: 0xffffffffffffff max_cycles: 0xb8812736b, max_idle_ns: 440795202655 ns
[    0.000003] sched_clock: 56 bits at 50MHz, resolution 20ns, wraps every 4398046511100ns
[    0.000287] Console: colour dummy device 80x25
[    0.000454] printk: console [tty0] enabled
[    0.000474] Calibrating delay loop (skipped), value calculated using timer frequency.. 100.00 BogoMIPS (lpj=200000)
[    0.000488] pid_max: default: 32768 minimum: 301
[    0.000651] Mount-cache hash table entries: 32768 (order: 6, 262144 bytes, linear)
[    0.000697] Mountpoint-cache hash table entries: 32768 (order: 6, 262144 bytes, linear)
[    0.001603] ASID allocator initialised with 32768 entries
[    0.001659] rcu: Hierarchical SRCU implementation.
[    0.001881] EFI services will not be available.
[    0.002006] smp: Bringing up secondary CPUs ...
[    0.002319] Detected VIPT I-cache on CPU1
[    0.002350] CPU1: Booted secondary processor 0x0000000001 [0x410fd034]
[    0.002675] Detected VIPT I-cache on CPU2
[    0.002693] CPU2: Booted secondary processor 0x0000000002 [0x410fd034]
[    0.002999] Detected VIPT I-cache on CPU3
[    0.003016] CPU3: Booted secondary processor 0x0000000003 [0x410fd034]
[    0.003060] smp: Brought up 1 node, 4 CPUs
[    0.003095] SMP: Total of 4 processors activated.
[    0.003103] CPU features: detected: 32-bit EL0 Support
[    0.003111] CPU features: detected: CRC32 instructions
[    0.003142] CPU: All CPU(s) started at EL2
[    0.003158] alternatives: patching kernel code
[    0.004229] devtmpfs: initialized
[    0.008411] clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 7645041785100000 ns
[    0.008431] futex hash table entries: 1024 (order: 4, 65536 bytes, linear)
[    0.011254] xor: measuring software checksum speed
[    0.048014]    8regs     :  2622.000 MB/sec
[    0.088038]    32regs    :  3008.000 MB/sec
[    0.128069]    arm64_neon:  2612.000 MB/sec
[    0.128076] xor: using function: 32regs (3008.000 MB/sec)
[    0.128132] pinctrl core: initialized pinctrl subsystem
[    0.128479] DMI not present or invalid.
[    0.128705] NET: Registered protocol family 16
[    0.129646] DMA: preallocated 256 KiB pool for atomic allocations
[    0.129669] audit: initializing netlink subsys (disabled)
[    0.129791] audit: type=2000 audit(0.128:1): state=initialized audit_enabled=0 res=1
[    0.130120] cpuidle: using governor menu
[    0.130307] hw-breakpoint: found 6 breakpoint and 4 watchpoint registers.
[    0.130454] Serial: AMBA PL011 UART driver
[    0.142626] HugeTLB registered 1.00 GiB page size, pre-allocated 0 pages
[    0.142640] HugeTLB registered 32.0 MiB page size, pre-allocated 0 pages
[    0.142649] HugeTLB registered 2.00 MiB page size, pre-allocated 0 pages
[    0.142658] HugeTLB registered 64.0 KiB page size, pre-allocated 0 pages
[    1.105315] cryptd: max_cpu_qlen set to 1000
[    1.126999] DRBG: Continuing without Jitter RNG
[    1.200699] raid6: neonx8   gen()  1709 MB/s
[    1.268732] raid6: neonx8   xor()  1619 MB/s
[    1.336770] raid6: neonx4   gen()  1642 MB/s
[    1.404804] raid6: neonx4   xor()  1580 MB/s
[    1.472866] raid6: neonx2   gen()  1253 MB/s
[    1.540875] raid6: neonx2   xor()  1313 MB/s
[    1.608934] raid6: neonx1   gen()   817 MB/s
[    1.676963] raid6: neonx1   xor()   989 MB/s
[    1.745019] raid6: int64x8  gen()  1288 MB/s
[    1.813057] raid6: int64x8  xor()   843 MB/s
[    1.881093] raid6: int64x4  gen()  1086 MB/s
[    1.949136] raid6: int64x4  xor()   817 MB/s
[    2.017155] raid6: int64x2  gen()   754 MB/s
[    2.085227] raid6: int64x2  xor()   663 MB/s
[    2.153245] raid6: int64x1  gen()   499 MB/s
[    2.221271] raid6: int64x1  xor()   502 MB/s
[    2.221278] raid6: using algorithm neonx8 gen() 1709 MB/s
[    2.221285] raid6: .... xor() 1619 MB/s, rmw enabled
[    2.221292] raid6: using neon recovery algorithm
[    2.221847] iommu: Default domain type: Translated
[    2.222084] SCSI subsystem initialized
[    2.222233] usbcore: registered new interface driver usbfs
[    2.222266] usbcore: registered new interface driver hub
[    2.222293] usbcore: registered new device driver usb
[    2.222339] mc: Linux media interface: v0.10
[    2.222360] videodev: Linux video capture interface: v2.00
[    2.222403] pps_core: LinuxPPS API ver. 1 registered
[    2.222411] pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti <giometti@linux.it>
[    2.222429] PTP clock support registered
[    2.222449] EDAC MC: Ver: 3.0.0
[    2.222796] zynqmp-ipi-mbox mailbox@ff990400: Registered ZynqMP IPI mbox with TX/RX channels.
[    2.222945] FPGA manager framework
[    2.223065] Advanced Linux Sound Architecture Driver Initialized.
[    2.223326] Bluetooth: Core ver 2.22
[    2.223350] NET: Registered protocol family 31
[    2.223358] Bluetooth: HCI device and connection manager initialized
[    2.223368] Bluetooth: HCI socket layer initialized
[    2.223377] Bluetooth: L2CAP socket layer initialized
[    2.223394] Bluetooth: SCO socket layer initialized
[    2.223813] clocksource: Switched to clocksource arch_sys_counter
[    2.223900] VFS: Disk quotas dquot_6.6.0
[    2.223942] VFS: Dquot-cache hash table entries: 512 (order 0, 4096 bytes)
[    2.227670] NET: Registered protocol family 2
[    2.228008] tcp_listen_portaddr_hash hash table entries: 8192 (order: 5, 131072 bytes, linear)
[    2.228155] TCP established hash table entries: 131072 (order: 8, 1048576 bytes, linear)
[    2.228878] TCP bind hash table entries: 65536 (order: 8, 1048576 bytes, linear)
[    2.229581] TCP: Hash tables configured (established 131072 bind 65536)
[    2.229660] UDP hash table entries: 8192 (order: 6, 262144 bytes, linear)
[    2.229912] UDP-Lite hash table entries: 8192 (order: 6, 262144 bytes, linear)
[    2.230247] NET: Registered protocol family 1
[    2.230521] RPC: Registered named UNIX socket transport module.
[    2.230530] RPC: Registered udp transport module.
[    2.230537] RPC: Registered tcp transport module.
[    2.230543] RPC: Registered tcp NFSv4.1 backchannel transport module.
[    2.230781] PCI: CLS 0 bytes, default 64
[    2.257455] Initialise system trusted keyrings
[    2.257540] workingset: timestamp_bits=46 max_order=22 bucket_order=0
[    2.257996] squashfs: version 4.0 (2009/01/31) Phillip Lougher
[    2.258294] NFS: Registering the id_resolver key type
[    2.258309] Key type id_resolver registered
[    2.258316] Key type id_legacy registered
[    2.258328] nfs4filelayout_init: NFSv4 File Layout Driver Registering...
[    2.258351] jffs2: version 2.2. (NAND) (SUMMARY)  © 2001-2006 Red Hat, Inc.
[    2.269803] NET: Registered protocol family 38
[    2.269813] Key type asymmetric registered
[    2.269821] Asymmetric key parser 'x509' registered
[    2.269847] Block layer SCSI generic (bsg) driver version 0.4 loaded (major 244)
[    2.269912] io scheduler mq-deadline registered
[    2.269921] io scheduler kyber registered
[    2.270790] switchtec: loaded.
[    2.271711] ps_pcie_dma init()
[    2.293817] Serial: 8250/16550 driver, 4 ports, IRQ sharing disabled
[    2.294776] Serial: AMBA driver
[    2.296693] cacheinfo: Unable to detect cache hierarchy for CPU 0
[    2.300610] brd: module loaded
[    2.304661] loop: module loaded
[    2.305764] mtdoops: mtd device (mtddev=name/number) must be supplied
[    2.306318] Ethernet Channel Bonding Driver: v3.7.1 (April 27, 2011)
[    2.307567] libphy: Fixed MDIO Bus: probed
[    2.307764] tun: Universal TUN/TAP device driver, 1.6
[    2.307855] CAN device driver interface
[    2.308606] Intel(R) Wireless WiFi driver for Linux
[    2.308613] Copyright(c) 2003- 2015 Intel Corporation
[    2.309547] usbcore: registered new interface driver cdc_acm
[    2.309555] cdc_acm: USB Abstract Control Model driver for USB modems and ISDN adapters
[    2.309582] usbcore: registered new interface driver cdc_wdm
[    2.309615] usbcore: registered new interface driver usb-storage
[    2.309663] usbcore: registered new interface driver usbserial_generic
[    2.309685] usbserial: USB Serial support registered for generic
[    2.309709] usbcore: registered new interface driver usb_serial_simple
[    2.309732] usbserial: USB Serial support registered for carelink
[    2.309751] usbserial: USB Serial support registered for zio
[    2.309769] usbserial: USB Serial support registered for funsoft
[    2.309788] usbserial: USB Serial support registered for flashloader
[    2.309807] usbserial: USB Serial support registered for google
[    2.309826] usbserial: USB Serial support registered for libtransistor
[    2.309847] usbserial: USB Serial support registered for vivopay
[    2.309866] usbserial: USB Serial support registered for moto_modem
[    2.309885] usbserial: USB Serial support registered for motorola_tetra
[    2.309904] usbserial: USB Serial support registered for novatel_gps
[    2.309923] usbserial: USB Serial support registered for hp4x
[    2.309942] usbserial: USB Serial support registered for suunto
[    2.309960] usbserial: USB Serial support registered for siemens_mpi
[    2.310410] rtc_zynqmp ffa60000.rtc: registered as rtc0
[    2.310452] i2c /dev entries driver
[    2.312243] device-mapper: ioctl: 4.41.0-ioctl (2019-09-16) initialised: dm-devel@redhat.com
[    2.312255] Bluetooth: HCI UART driver ver 2.3
[    2.312264] Bluetooth: HCI UART protocol H4 registered
[    2.312271] Bluetooth: HCI UART protocol BCSP registered
[    2.312291] Bluetooth: HCI UART protocol LL registered
[    2.312298] Bluetooth: HCI UART protocol ATH3K registered
[    2.312316] Bluetooth: HCI UART protocol Three-wire (H5) registered
[    2.312355] Bluetooth: HCI UART protocol Intel registered
[    2.312375] Bluetooth: HCI UART protocol QCA registered
[    2.312473] EDAC MC: ECC not enabled
[    2.312611] EDAC DEVICE0: Giving out device to module zynqmp-ocm-edac controller zynqmp_ocm: DEV ff960000.memory-controller (INTERRUPT)
[    2.313054] sdhci: Secure Digital Host Controller Interface driver
[    2.313063] sdhci: Copyright(c) Pierre Ossman
[    2.313069] sdhci-pltfm: SDHCI platform and OF driver helper
[    2.313367] ledtrig-cpu: registered to indicate activity on CPUs
[    2.313415] zynqmp_firmware_probe Platform Management API v1.1
[    2.313424] zynqmp_firmware_probe Trustzone version v1.0
[    2.335771] alg: No test for xilinx-zynqmp-aes (zynqmp-aes)
[    2.335937] zynqmp_aes zynqmp_aes: AES Successfully Registered
[    2.335937]
[    2.336101] alg: No test for xilinx-keccak-384 (zynqmp-keccak-384)
[    2.336323] alg: No test for xilinx-zynqmp-rsa (zynqmp-rsa)
[    2.336558] usbcore: registered new interface driver usbhid
[    2.336566] usbhid: USB HID core driver
**[    2.336672] FPGA DOWNLOAD --->**
**[    2.336679] FPGA image file name: xlinx_fpga_firmware.bit**
**[    2.336766] GPIO INIT FAIL!!**
[    2.336883] xlnk xlnk: Major 239
[    2.336969] xlnk xlnk: xlnk driver loaded
[    2.336978] xlnk xlnk: xlnk_pdev is not null
[    2.337076] axis-fifo driver loaded with parameters read_timeout = 1000, write_timeout = 1000
[    2.338905] ARM CCI_400_r1 PMU driver probed
[    2.339333] fpga_manager fpga0: Xilinx ZynqMP FPGA Manager registered
[    2.339690] usbcore: registered new interface driver snd-usb-audio
[    2.340413] pktgen: Packet Generator for packet performance testing. Version: 2.75
[    2.341115] IPVS: Registered protocols (TCP, UDP)
[    2.341135] IPVS: Connection hash table configured (size=4096, memory=64Kbytes)
[    2.341227] IPVS: ipvs loaded.
[    2.341235] IPVS: [rr] scheduler registered.
[    2.341358] Initializing XFRM netlink socket
[    2.341440] NET: Registered protocol family 10
[    2.341903] Segment Routing with IPv6
[    2.342015] sit: IPv6, IPv4 and MPLS over IPv4 tunneling driver
[    2.342332] NET: Registered protocol family 17
[    2.342348] NET: Registered protocol family 15
[    2.342371] bridge: filtering via arp/ip/ip6tables is no longer available by default. Update your scripts to load br_netfilter if you need this.
[    2.342383] can: controller area network core (rev 20170425 abi 9)
[    2.342413] NET: Registered protocol family 29
[    2.342422] can: raw protocol (rev 20170425)
[    2.342429] can: broadcast manager protocol (rev 20170425 t)
[    2.342439] can: netlink gateway (rev 20190810) max_hops=1
[    2.342512] Bluetooth: RFCOMM TTY layer initialized
[    2.342523] Bluetooth: RFCOMM socket layer initialized
[    2.342539] Bluetooth: RFCOMM ver 1.11
[    2.342550] Bluetooth: BNEP (Ethernet Emulation) ver 1.3
[    2.342557] Bluetooth: BNEP filters: protocol multicast
[    2.342565] Bluetooth: BNEP socket layer initialized
[    2.342573] Bluetooth: HIDP (Human Interface Emulation) ver 1.2
[    2.342581] Bluetooth: HIDP socket layer initialized
[    2.342709] 9pnet: Installing 9P2000 support
[    2.343145] NET: Registered protocol family 36
[    2.343188] Key type dns_resolver registered
[    2.343494] registered taskstats version 1
[    2.343502] Loading compiled-in X.509 certificates
[    2.344397] Btrfs loaded, crc32c=crc32c-generic
[    2.352217] ff000000.serial: ttyPS1 at MMIO 0xff000000 (irq = 50, base_baud = 6250000) is a xuartps
[    2.352342] serial serial0: tty port ttyPS0 registered
[    2.352559] ff010000.serial: ttyPS0 at MMIO 0xff010000 (irq = 51, base_baud = 6250000) is a xuartps
[    3.721636] printk: console [ttyPS0] enabled
[    3.726179] of-fpga-region fpga-full: FPGA Region probed
[    3.732321] nwl-pcie fd0e0000.pcie: Link is UP
[    3.736800] nwl-pcie fd0e0000.pcie: host bridge /amba/pcie@fd0e0000 ranges:
[    3.743777] nwl-pcie fd0e0000.pcie:   MEM 0xe0000000..0xefffffff -> 0xe0000000
[    3.751005] nwl-pcie fd0e0000.pcie:   MEM 0x600000000..0x7ffffffff -> 0x600000000
[    3.758582] nwl-pcie fd0e0000.pcie: PCI host bridge to bus 0000:00
[    3.764759] pci_bus 0000:00: root bus resource [bus 00-ff]
[    3.770242] pci_bus 0000:00: root bus resource [mem 0xe0000000-0xefffffff]
[    3.777116] pci_bus 0000:00: root bus resource [mem 0x600000000-0x7ffffffff pref]
[    3.784616] pci 0000:00:00.0: [10ee:7012] type 01 class 0x060400
[    3.790638] pci 0000:00:00.0: reg 0x10: [mem 0x00000000-0x00001fff pref]
[    3.797377] pci 0000:00:00.0: PME# supported from D0 D1 D2 D3hot
[    3.804780] pci 0000:01:00.0: [104c:8241] type 00 class 0x0c0330
[    3.810818] pci 0000:01:00.0: reg 0x10: [mem 0x00000000-0x0000ffff 64bit]
[    3.817615] pci 0000:01:00.0: reg 0x18: [mem 0x00000000-0x00001fff 64bit]
[    3.824494] pci 0000:01:00.0: supports D1 D2
[    3.828764] pci 0000:01:00.0: PME# supported from D0 D1 D2 D3hot D3cold
[    3.836674] pci 0000:00:00.0: BAR 8: assigned [mem 0xe0000000-0xe00fffff]
[    3.843464] pci 0000:00:00.0: BAR 0: assigned [mem 0xe0100000-0xe0101fff pref]
[    3.850686] pci 0000:01:00.0: BAR 0: assigned [mem 0xe0000000-0xe000ffff 64bit]
[    3.858001] pci 0000:01:00.0: BAR 2: assigned [mem 0xe0010000-0xe0011fff 64bit]
[    3.865318] pci 0000:00:00.0: PCI bridge to [bus 01-0c]
[    3.870540] pci 0000:00:00.0:   bridge window [mem 0xe0000000-0xe00fffff]
[    3.877408] pcieport 0000:00:00.0: enabling device (0000 -> 0002)
[    3.883566] pcieport 0000:00:00.0: PME: Signaling with IRQ 56
[    3.889392] pci 0000:01:00.0: enabling device (0000 -> 0002)
[    3.895161] xhci_hcd 0000:01:00.0: xHCI Host Controller
[    3.900393] xhci_hcd 0000:01:00.0: new USB bus registered, assigned bus number 1
[    3.907961] xhci_hcd 0000:01:00.0: hcc params 0x0270f06d hci version 0x96 quirks 0x0000000004000000
[    3.917451] usb usb1: New USB device found, idVendor=1d6b, idProduct=0002, bcdDevice= 5.04
[    3.925713] usb usb1: New USB device strings: Mfr=3, Product=2, SerialNumber=1
[    3.932931] usb usb1: Product: xHCI Host Controller
[    3.937807] usb usb1: Manufacturer: Linux 5.4.0-xilinx-v2020.2 xhci-hcd
[    3.944413] usb usb1: SerialNumber: 0000:01:00.0
[    3.949270] hub 1-0:1.0: USB hub found
[    3.953038] hub 1-0:1.0: 4 ports detected
[    3.957384] xhci_hcd 0000:01:00.0: xHCI Host Controller
[    3.962612] xhci_hcd 0000:01:00.0: new USB bus registered, assigned bus number 2
[    3.970007] xhci_hcd 0000:01:00.0: Host supports USB 3.0 SuperSpeed
[    3.976307] usb usb2: We don't know the algorithms for LPM for this host, disabling LPM.
[    3.984465] usb usb2: New USB device found, idVendor=1d6b, idProduct=0003, bcdDevice= 5.04
[    3.992731] usb usb2: New USB device strings: Mfr=3, Product=2, SerialNumber=1
[    3.999949] usb usb2: Product: xHCI Host Controller
[    4.004824] usb usb2: Manufacturer: Linux 5.4.0-xilinx-v2020.2 xhci-hcd
[    4.011431] usb usb2: SerialNumber: 0000:01:00.0
[    4.016240] hub 2-0:1.0: USB hub found
[    4.020015] hub 2-0:1.0: 4 ports detected
[    4.024711] xilinx-dpdma fd4c0000.dma: Xilinx DPDMA engine is probed
[    4.031269] xilinx-zynqmp-dma fd500000.dma: ZynqMP DMA driver Probe success
[    4.038361] xilinx-zynqmp-dma fd510000.dma: ZynqMP DMA driver Probe success
[    4.045452] xilinx-zynqmp-dma fd520000.dma: ZynqMP DMA driver Probe success
[    4.052546] xilinx-zynqmp-dma fd530000.dma: ZynqMP DMA driver Probe success
[    4.059638] xilinx-zynqmp-dma fd540000.dma: ZynqMP DMA driver Probe success
[    4.066732] xilinx-zynqmp-dma fd550000.dma: ZynqMP DMA driver Probe success
[    4.073828] xilinx-zynqmp-dma fd560000.dma: ZynqMP DMA driver Probe success
[    4.080930] xilinx-zynqmp-dma fd570000.dma: ZynqMP DMA driver Probe success
[    4.088091] xilinx-zynqmp-dma ffa80000.dma: ZynqMP DMA driver Probe success
[    4.095184] xilinx-zynqmp-dma ffa90000.dma: ZynqMP DMA driver Probe success
[    4.102272] xilinx-zynqmp-dma ffaa0000.dma: ZynqMP DMA driver Probe success
[    4.109365] xilinx-zynqmp-dma ffab0000.dma: ZynqMP DMA driver Probe success
[    4.116457] xilinx-zynqmp-dma ffac0000.dma: ZynqMP DMA driver Probe success
[    4.123549] xilinx-zynqmp-dma ffad0000.dma: ZynqMP DMA driver Probe success
[    4.130647] xilinx-zynqmp-dma ffae0000.dma: ZynqMP DMA driver Probe success
[    4.137742] xilinx-zynqmp-dma ffaf0000.dma: ZynqMP DMA driver Probe success
[    4.145037] xilinx-psgtr fd400000.zynqmp_phy: Lane:3 type:8 protocol:4 pll_locked:yes
[    4.156060] zynqmp_clk_divider_set_rate() set divider failed for sdio1_ref_div1, ret = -13
[    4.164846] xilinx-dp-snd-codec fd4a0000.zynqmp-display:zynqmp_dp_snd_codec0: Xilinx DisplayPort Sound Codec probed
[    4.175508] xilinx-dp-snd-pcm zynqmp_dp_snd_pcm0: Xilinx DisplayPort Sound PCM probed
[    4.183529] xilinx-dp-snd-pcm zynqmp_dp_snd_pcm1: Xilinx DisplayPort Sound PCM probed
[    4.191796] xilinx-dp-snd-card fd4a0000.zynqmp-display:zynqmp_dp_snd_card: xilinx-dp-snd-codec-dai <-> xilinx-dp-snd-codec-dai mapping ok
[    4.204231] xilinx-dp-snd-card fd4a0000.zynqmp-display:zynqmp_dp_snd_card: xilinx-dp-snd-codec-dai <-> xilinx-dp-snd-codec-dai mapping ok
[    4.216585] xilinx-dp-snd-card fd4a0000.zynqmp-display:zynqmp_dp_snd_card: ASoC: no DMI vendor name!
[    4.226057] xilinx-dp-snd-card fd4a0000.zynqmp-display:zynqmp_dp_snd_card: Xilinx DisplayPort Sound Card probed
[    4.236224] OF: graph: no port node found in /amba/zynqmp-display@fd4a0000
[    4.243190] [drm] Supports vblank timestamp caching Rev 2 (21.10.2013).
[    4.249804] [drm] No driver support for vblank timestamp query.
[    4.255791] xlnx-drm xlnx-drm.0: bound fd4a0000.zynqmp-display (ops 0xffff800010df0890)
[    4.429696] Console: switching to colour frame buffer device 240x67
[    4.452199] zynqmp-display fd4a0000.zynqmp-display: fb0: xlnxdrmfb frame buffer device
[    4.460381] [drm] Initialized xlnx 1.0.0 20130509 for fd4a0000.zynqmp-display on minor 0
[    4.468547] zynqmp-display fd4a0000.zynqmp-display: ZynqMP DisplayPort Subsystem driver probed
[    4.477473] ahci-ceva fd0c0000.ahci: fd0c0000.ahci supply ahci not found, using dummy regulator
[    4.486268] ahci-ceva fd0c0000.ahci: fd0c0000.ahci supply phy not found, using dummy regulator
[    4.494975] ahci-ceva fd0c0000.ahci: fd0c0000.ahci supply target not found, using dummy regulator
[    4.504057] xilinx-psgtr fd400000.zynqmp_phy: Lane:1 type:3 protocol:2 pll_locked:yes
[    4.512000] ahci-ceva fd0c0000.ahci: AHCI 0001.0301 32 slots 2 ports 6 Gbps 0x3 impl platform mode
[    4.521030] ahci-ceva fd0c0000.ahci: flags: 64bit ncq sntf pm clo only pmp fbs pio slum part ccc sds apst
[    4.531583] scsi host0: ahci-ceva
[    4.535164] scsi host1: ahci-ceva
[    4.538610] ata1: SATA max UDMA/133 mmio [mem 0xfd0c0000-0xfd0c1fff] port 0x100 irq 47
[    4.546581] ata2: SATA max UDMA/133 mmio [mem 0xfd0c0000-0xfd0c1fff] port 0x180 irq 47
[    4.555011] nand: device found, Manufacturer ID: 0x01, Chip ID: 0xda
[    4.561412] nand: AMD/Spansion S34ML02G1
[    4.565357] nand: 256 MiB, SLC, erase size: 128 KiB, page size: 2048, OOB size: 64
[    4.573335] Bad block table found at page 131008, version 0x01
[    4.579825] Bad block table found at page 130944, version 0x01
[    4.586314] 4 fixed-partitions partitions found on MTD device arasan_nand.0
[    4.593330] Creating 4 MTD partitions on "arasan_nand.0":
[    4.598763] 0x000000000000-0x000004000000 : "nand-linux-0"
[    4.605482] 0x000004000000-0x000008000000 : "nand-linux-1"
[    4.612120] 0x000008000000-0x00000c000000 : "nand-linux-2"
[    4.618721] 0x00000c000000-0x000010000000 : "nand-linux-3"
[    4.626112] spi-nor spi0.0: found s25fl512s, expected s25fl256s1
[    4.637961] random: fast init done
[    4.866000] ata1: SATA link down (SStatus 0 SControl 330)
[    4.871460] ata2: SATA link down (SStatus 0 SControl 330)
[    5.062611] spi-nor spi0.0: s25fl512s (65536 Kbytes)
[    5.067626] 4 fixed-partitions partitions found on MTD device spi0.0
[    5.074030] Creating 4 MTD partitions on "spi0.0":
[    5.078859] 0x000000000000-0x000000800000 : "qspi-test-0"
[    5.084953] 0x000000800000-0x000001000000 : "qspi-test-1"
[    5.091016] 0x000001000000-0x000001800000 : "qspi-test-2"
[    5.097075] 0x000001800000-0x000002000000 : "qspi-test-3"
[    5.104023] macb ff0d0000.ethernet: Not enabling partial store and forward
[    5.111382] libphy: MACB_mii_bus: probed
[    5.119245] TI DP83867 ff0d0000.ethernet-ffffffff:09: attached PHY driver [TI DP83867] (mii_bus:phy_addr=ff0d0000.ethernet-ffffffff:09, irq=POLL)
[    5.135023] macb ff0d0000.ethernet eth0: Cadence GEM rev 0x50070106 at 0xff0d0000 irq 32 (00:0a:35:30:02:00)
[    5.147858] macb ff0e0000.ethernet: Not enabling partial store and forward
[    5.157841] libphy: MACB_mii_bus: probed
[    5.164803] Generic PHY ff0e0000.ethernet-ffffffff:09: attached PHY driver [Generic PHY] (mii_bus:phy_addr=ff0e0000.ethernet-ffffffff:09, irq=POLL)
[    5.180810] macb ff0e0000.ethernet eth1: Cadence GEM rev 0x50070106 at 0xff0e0000 irq 33 (00:0a:35:30:02:01)
[    5.193727] xilinx-axipmon ffa00000.perf-monitor: Probed Xilinx APM
[    5.203033] xilinx-axipmon fd0b0000.perf-monitor: Probed Xilinx APM
[    5.212255] xilinx-axipmon fd490000.perf-monitor: Probed Xilinx APM
[    5.221434] xilinx-axipmon ffa10000.perf-monitor: Probed Xilinx APM
[    5.232763] si570 0-0055: registered, current frequency 156250000 Hz
[    5.242095] at24 0-0050: 8192 byte 24c64 EEPROM, writable, 1 bytes/write
[    5.251547] mma8452 0-001d: 0-001d supply vdd not found, using dummy regulator
[    5.261444] mma8452 0-001d: 0-001d supply vddio not found, using dummy regulator
[    5.271621] mma8452 0-001d: registering fsl,mma8652 accelerometer; ID 0x4a
[    5.332315] ina2xx 0-0045: power monitor ina219 (Rshunt = 2000 uOhm)
[    5.341281] cdns-i2c ff020000.i2c: 400 kHz mmio ff020000 irq 35
[    5.350224] cdns-i2c ff030000.i2c: 400 kHz mmio ff030000 irq 36
[    5.359028] cpufreq: cpufreq_online: CPU0: Running at unlisted freq: 1325000 KHz
[    5.369007] cpufreq: cpufreq_online: CPU0: Unlisted initial frequency changed to: 1334013 KHz
[    5.381170] sdhci-arasan ff160000.mmc: allocated mmc-pwrseq
[    6.444981] mmc0: SDHCI controller on ff160000.mmc [ff160000.mmc] using ADMA 64-bit
[    6.462538] sdhci-arasan ff160000.mmc: card claims to support voltages below defined range
[    6.473369] sdhci-arasan ff160000.mmc: no support for card's volts
[    6.482080] mmc0: error -22 whilst initialising SDIO card
[    6.515683] mmc1: SDHCI controller on ff170000.mmc [ff170000.mmc] using ADMA 64-bit
[    6.526955] Bluetooth: hci0: Frame reassembly failed (-84)
[    6.535069] rtc_zynqmp ffa60000.rtc: setting system clock to 1970-01-01T00:00:12 UTC (12)
[    6.545780] of_cfs_init
[    6.550740] of_cfs_init: OK
[    6.604251] cfg80211: Loading compiled-in X.509 certificates for regulatory database
[    6.646156] mmc1: new high speed SDHC card at address aaaa
[    6.654437] mmcblk1: mmc1:aaaa SC16G 14.8 GiB
[    6.666871]  mmcblk1: p1 p2
[    6.731189] cfg80211: Loaded X.509 cert 'sforshee: 00b28ddf47aef9cea7'
[    6.740164] clk: Not disabling unused clocks
[    6.747083] ALSA device list:
[    6.752427]   #0: DisplayPort monitor
[    6.758784] platform regulatory.0: Direct firmware load for regulatory.db failed with error -2
[    6.769857] cfg80211: failed to load regulatory.db
[    6.790455] EXT4-fs (mmcblk1p2): mounted filesystem with ordered data mode. Opts: (null)
[    6.801043] VFS: Mounted root (ext4 filesystem) on device 179:2.
[    6.813242] devtmpfs: mounted
[    6.818915] Freeing unused kernel memory: 832K
[    6.825932] Run /sbin/init as init process
[    7.416825] systemd[1]: System time before build time, advancing clock.
[    7.470995] systemd[1]: systemd 245.4-4ubuntu3 running in system mode. (+PAM +AUDIT +SELINUX +IMA +APPARMOR +SMACK +SYSVINIT +UTMP +LIBCRYPTSETUP +GCRYPT +GNUTLS +ACL +XZ +LZ4 +SECCOMP +BLKID +ELFUTILS +KMOD +IDN2 -IDN +PCRE2 default-hierarchy=hybrid)
[    7.499100] systemd[1]: Detected architecture arm64.

Welcome to PynqLinux, based on Ubuntu 20.04!
...
Welcome to PYNQ Linux, based on Ubuntu 20.04 (GNU/Linux 5.4.0-xilinx-v2020.2 aarch64)

I would greatly appreciate any help in getting this to work.
Thank you,

Nadav

1 Like

Hi @PeterOgden @rock , i am facing the above similar issue with different zynq custom board. Can you guys help me in resolving this issue?

I think a big part of the problem is the change in kernel between PYNQ v2.5 and v2.7. (kernel 4.19 to 5.4, ubuntu 18.04 to 20.04)
The patches that Aldec had for v2.5 will not work on the newer image.

I’ve asked Aldec about it and their FAE said they are working on a v2.7 image that should
be available in about a week from now.
I was thinking of updating this thread once it’s available on their repo.

-Nadav

1 Like

and it’s out today:

1 Like