VFS: Unable to mount root fs on unknown-block

I compile pynq2.7 in xczu115eg
The vivado version is 2020.2
Although myboards.img was successfully compiled, an error was encountered: This is the compilation error log:

INFO: Sourcing build environment
INFO: bitbake wic-tools
Parsing recipes: 100% |#########################################| Time : 0:00:37
Parsing of 2998 .bb files complete (0 cached, 2998 parsed). 4270 targets, 216 skipped, 0 masked, 0 errors.
NOTE: Resolving any missing task queue dependencies
Initializing tasks: 100% |######################################| Time: 0: 00:00
Checking sstate mirror object availability: 100% |###############| Time: 0:00:00
Sstate summary: Wanted 33 Found 33 Missed 0 Current 71 (100% match, 100% complete)
NOTE: Executing Tasks
NOTE: Setscene tasks completed
NOTE: Tasks Summary: Attempted 523 tasks of which 502 didn’t need to be rerun and all succeeded.
INFO: Extracting rootfs, This may take time!
INFO: Creating wic image…
INFO: wic create /home/cgc/part/PYNQ/sdbuild/boot/image.wks --rootfs-dir /home/cgc/part/PYNQ/sdbuild/build/ZCU115/petalinux_project/build/wic/rootfs --bootimg -dir /home/cgc/part/PYNQ/sdbuild/build/focal.ZCU115 --kernel-dir /home/cgc/part/PYNQ/sdbuild/build/focal.ZCU115 --outdir /tmp/tmp.98cFv5kXuA -n /home/cgc/part/PYNQ/sdbuild/build/ZCU115/petalinux_project/build/tmp/work/aarch64-xilinx-linux/wic-tools/1.0-r0/recipe-sysroot-native
INFO: Creating image(s)…

WARNING: bootloader config not specified, using defaults

INFO: The new image(s) can be found here:
/tmp/tmp.98cFv5kXuA/image-202403101432-mmcblk0.direct

The following build artifacts were used to create the image(s):
ROOTFS_DIR: /home/cgc/part/PYNQ/sdbuild/build/ZCU115/petalinux_project/build/wic/rootfs
BOOTIMG_DIR: /home/cgc/part/PYNQ/sdbuild/build/focal.ZCU115
KERNEL_DIR: /home/cgc/part/PYNQ/sdbuild/build/focal.ZCU115
NATIVE_SYSROOT: /home/cgc/part/PYNQ/sdbuild/build/ZCU115/petalinux_project/build/tmp/work/aarch64-xilinx-linux/wic-tools/1.0-r0/recipe-sysroot-native

INFO: The image(s) were created using OE kickstart file:
/home/cgc/part/PYNQ/sdbuild/boot/image.wks
Num Start End Size Fstype
1 4096 136318975 136314880 fat16
2 137363456 9168549887 9031186432 ext4
mkdir -p /home/cgc/part/PYNQ/sdbuild/output/dist
mkdir -p /home/cgc/part/PYNQ/sdbuild/output/dist/aarch64
cp -rf /home/cgc/part/PYNQ/sdbuild/build/PYNQ/dist/.tar.gz /home/cgc/part/PYNQ/sdbuild/output/dist/aarch64
cp: cannot stat '/home/cgc/part/PYNQ/sdbuild/build/PYNQ/dist/
.tar.gz’: No such file or directory
Makefile:346: recipe for target ‘/home/cgc/part/PYNQ/sdbuild/output/dist/aarch64’ failed
make: *** [/home/cgc/part/PYNQ/sdbuild/output/dist/aarch64] Error 1

As shown above, the script generates img under output, this error does not seem to be a big problem.
Then I put the generated myboard.img into the sd card, and then put it into the development board to start. I encountered the following error:
Xilinx Zynq MP First Stage Boot Loader
Release 2020.2 Mar 10 2024 - 14:25:04
NOTICE: ATF running on XCZU15EG/silicon v4/RTL5.1 at 0xfffea000
NOTICE: BL31: v2.2(release):xilinx_rebase_v2.2_2020.1-10-ge6eea88b1
NOTICE: BL31: Built : 14:26:12, Mar 10 2024

U-Boot 2020.01 (Mar 10 2024 - 14:24:10 +0000)

Board: Xilinx ZynqMP
DRAM: 4 GiB
usb dr_mode not found
PMUFW: v1.1
EL Level: EL2
Chip ID: zu15eg
NAND: 0 MiB
MMC: mmc@ff160000: 0, mmc@ff170000: 1
In: serial@ff000000
Out: serial@ff000000
Err: serial@ff000000
Bootmode: SD_MODE1
Reset reason: EXTERNAL
Net:
ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id

Warning: ethernet@ff0e0000 (eth0) using random MAC address - 1e:76:a0:41:69:e9
eth0: ethernet@ff0e0000
Hit any key to stop autoboot: 0
switch to partitions #0, OK
mmc1 is current device
Scanning mmc 1:1…
Found U-Boot script /boot.scr
1636 bytes read in 13 ms (122.1 KiB/s)

Executing script at 20000000

18318900 bytes read in 1353 ms (12.9 MiB/s)

Loading kernel from FIT Image at 10000000 …

Using ‘conf@1’ configuration
Trying ‘kernel@0’ kernel subimage
Description: Linux Kernel
Type: Kernel Image
Compression: uncompressed
Data Start: 0x100000d4
Data Size: 18278912 Bytes = 17.4 MiB
Architecture: AArch64
OS: Linux
Load Address: 0x00080000
Entry Point: 0x00080000
Hash algo: sha1
Hash value: af98e090eca96b74c4891fc0263614aea4d93822
Verifying Hash Integrity … sha1+ OK

Loading fdt from FIT Image at 10000000 …

Using ‘conf@1’ configuration
Trying ‘fdt@0’ fdt subimage
Description: Flattened Device Tree blob
Type: Flat Device Tree
Compression: uncompressed
Data Start: 0x1116ebcc
Data Size: 38163 Bytes = 37.3 KiB
Architecture: AArch64
Hash algo: sha1
Hash value: e4b5e45cd22ddf02c6636d6b6d9b596f9f1e2958
Verifying Hash Integrity … sha1+ OK
Booting using the fdt blob at 0x1116ebcc
Loading Kernel Image
Loading Device Tree to 000000000fff3000, end 000000000ffff512 … OK

Starting kernel …

[ 0.000000] Booting Linux on physical CPU 0x0000000000 [0x410fd034]
[ 0.000000] Linux version 5.4.0-xilinx-v2020.2 (oe-user@oe-host) (gcc version 9.2.0 (GCC)) #1 SMP Sun Mar 10 19:03 UTC 2024
[ 0.000000] Machine model: xlnx,zynqmp
[ 0.000000] efi: Getting EFI parameters from FDT:
[ 0.000000] efi: UEFI not found.
[ 0.000000] cma: Reserved 128 MiB at 0x0000000077c00000
[ 0.000000] psci: probing for conduit method from DT.
[ 0.000000] psci: PSCIv1.1 detected in firmware.
[ 0.000000] psci: Using standard PSCI v0.2 function IDs
[ 0.000000] psci: MIGRATE_INFO_TYPE not supported.
[ 0.000000] psci: SMC Calling Convention v1.1
[ 0.000000] percpu: Embedded 22 pages/cpu s50392 r8192 d31528 u90112
[ 0.000000] Detected VIPT I-cache on CPU0
[ 0.000000] CPU features: detected: ARM erratum 845719
[ 0.000000] Speculative Store Bypass Disable mitigation not required
[ 0.000000] Built 1 zonelists, mobility grouping on. Total pages: 1031940
[ 0.000000] Kernel command line: root=/dev/mmcblk0p2 rw earlyprintk rootfstype=ext4 rootwait devtmpfs.mount=1o_pdrv_genirq.of_id=“generic-uio” clk_ignore_unused
[ 0.000000] Dentry cache hash table entries: 524288 (order: 10, 4194304 bytes, linear)
[ 0.000000] Inode-cache hash table entries: 262144 (order: 9, 2097152 bytes, linear)
[ 0.000000] mem auto-init: stack:off, heap alloc:off, heap free:off
[ 0.000000] software IO TLB: mapped [mem 0x73c00000-0x77c00000] (64MB)
[ 0.000000] Memory: 3897408K/4193280K available (12476K kernel code, 762K rwdata, 3752K rodata, 768K init, 57bss, 164800K reserved, 131072K cma-reserved)
[ 0.000000] rcu: Hierarchical RCU implementation.
[ 0.000000] rcu: RCU event tracing is enabled.
[ 0.000000] rcu: RCU calculated value of scheduler-enlistment delay is 25 jiffies.
[ 0.000000] NR_IRQS: 64, nr_irqs: 64, preallocated irqs: 0
[ 0.000000] GIC: Adjusting CPU interface base to 0x00000000f902f000
[ 0.000000] GIC: Using split EOI/Deactivate mode
[ 0.000000] random: get_random_bytes called from start_kernel+0x2a8/0x42c with crng_init=0
[ 0.000000] arch_timer: cp15 timer(s) running at 100.00MHz (phys).
[ 0.000000] clocksource: arch_sys_counter: mask: 0xffffffffffffff max_cycles: 0x171024e7e0, max_idle_ns: 440705315 ns
[ 0.000007] sched_clock: 56 bits at 100MHz, resolution 10ns, wraps every 4398046511100ns
[ 0.000600] Console: colour dummy device 80x25
[ 0.001014] printk: console [tty0] enabled
[ 0.001056] Calibrating delay loop (skipped), value calculated using timer frequency… 200.00 BogoMIPS (lpj=400)
[ 0.001089] pid_max: default: 32768 minimum: 301
[ 0.001402] Mount-cache hash table entries: 8192 (order: 4, 65536 bytes, linear)
[ 0.001449] Mountpoint-cache hash table entries: 8192 (order: 4, 65536 bytes, linear)
[ 0.003281] ASID allocator initialised with 32768 entries
[ 0.003414] rcu: Hierarchical SRCU implementation.
[ 0.003951] EFI services will not be available.
[ 0.004241] smp: Bringing up secondary CPUs …
[ 0.004914] Detected VIPT I-cache on CPU1
[ 0.004968] CPU1: Booted secondary processor 0x0000000001 [0x410fd034]
[ 0.005701] Detected VIPT I-cache on CPU2
[ 0.005743] CPU2: Booted secondary processor 0x0000000002 [0x410fd034]
[ 0.006431] Detected VIPT I-cache on CPU3
[ 0.006473] CPU3: Booted secondary processor 0x0000000003 [0x410fd034]
[ 0.006579] smp: Brought up 1 node, 4 CPUs
[ 0.006666] SMP: Total of 4 processors activated.
[ 0.006685] CPU features: detected: 32-bit EL0 Support
[ 0.006704] CPU features: detected: CRC32 instructions
[ 0.006778] CPU: All CPU(s) started at EL2
[ 0.006816] alternatives: patching kernel code
[ 0.008864] devtmpfs: initialized
[ 0.017576] clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 7645041785100000 ns
[ 0.017617] futex hash table entries: 1024 (order: 4, 65536 bytes, linear)
[ 0.024484] xor: measuring software checksum speed
[ 0.064076] 8regs : 1039.000 MB/sec
[ 0.104138] 32regs : 1192.000 MB/sec
[ 0.144206] arm64_neon: 1035.000 MB/sec
[ 0.144224] xor: using function: 32regs (1192.000 MB/sec)
[ 0.144297] pinctrl core: initialized pinctrl subsystem
[ 0.144932] DMI not present or invalid.
[ 0.145412] NET: Registered protocol family 16
[ 0.147520] DMA: preallocated 256 KiB pool for atomic allocations
[ 0.147578] audit: initializing netlink subsys (disabled)
[ 0.147795] audit: type=2000 audit(0.144:1): state=initialized audit_enabled=0 res=1
[ 0.148503] cpuidle: using governor menu
[ 0.148887] hw-breakpoint: found 6 breakpoint and 4 watchpoint registers.
[ 0.149196] Serial: AMBA PL011 UART driver
[ 0.176583] HugeTLB registered 1.00 GiB page size, pre-allocated 0 pages
[ 0.176612] HugeTLB registered 32.0 MiB page size, pre-allocated 0 pages
[ 0.176634] HugeTLB registered 2.00 MiB page size, pre-allocated 0 pages
[ 0.176656] HugeTLB registered 64.0 KiB page size, pre-allocated 0 pages
[ 2.608010] cryptd: max_cpu_qlen set to 1000
[ 2.661439] DRBG: Continuing without Jitter RNG
[ 2.747948] raid6: neonx8 gen() 676 MB/s
[ 2.816017] raid6: neonx8 xor() 641 MB/s
[ 2.884101] raid6: neonx4 gen() 652 MB/s
[ 2.952219] raid6: neonx4 xor() 625 MB/s
[ 3.020300] raid6: neonx2 gen() 496 MB/s
[ 3.088414] raid6: neonx2 xor() 520 MB/s
[ 3.156549] raid6: neonx1 gen() 323 MB/s
[ 3.224589] raid6: neonx1 xor() 391 MB/s
[ 3.292704] raid6: int64x8 gen() 509 MB/s
[ 3.360866] raid6: int64x8 xor() 333 MB/s
[ 3.428952] raid6: int64x4 gen() 430 MB/s
[ 3.496992] raid6: int64x4 xor() 323 MB/s
[ 3.565215] raid6: int64x2 gen() 299 MB/s
[ 3.633194] raid6: int64x2 xor() 262 MB/s
[ 3.701453] raid6: int64x1 gen() 198 MB/s
[ 3.769486] raid6: int64x1 xor() 201 MB/s
[ 3.769505] raid6: using algorithm neonx8 gen() 676 MB/s
[ 3.769521] raid6: … xor() 641 MB/s, rmw enabled
[ 3.769538] raid6: using neon recovery algorithm
[ 3.770150] iommu: Default domain type: Translated
[ 3.770678] SCSI subsystem initialized
[ 3.770977] usbcore: registered new interface driver usbfs
[ 3.771049] usbcore: registered new interface driver hub
[ 3.771115] usbcore: registered new device driver usb
[ 3.771193] mc: Linux media interface: v0.10
[ 3.771244] videodev: Linux video capture interface: v2.00
[ 3.771299] pps_core: LinuxPPS API ver. 1 registered
[ 3.771317] pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti giometti@linux.it
[ 3.771357] PTP clock support registered
[ 3.771403] EDAC MC: Ver: 3.0.0
[ 3.772138] zynqmp-ipi-mbox mailbox@ff990400: Registered ZynqMP IPI mbox with TX/RX channels.
[ 3.772481] FPGA manager framework
[ 3.772755] Advanced Linux Sound Architecture Driver Initialized.
[ 3.773298] Bluetooth: Core ver 2.22
[ 3.773361] NET: Registered protocol family 31
[ 3.773379] Bluetooth: HCI device and connection manager initialized
[ 3.773402] Bluetooth: HCI socket layer initialized
[ 3.773422] Bluetooth: L2CAP socket layer initialized
[ 3.773452] Bluetooth: SCO socket layer initialized
[ 3.774149] clocksource: Switched to clocksource arch_sys_counter
[ 3.774369] VFS: Disk quotas dquot_6.6.0
[ 3.774468] VFS: Dquot-cache hash table entries: 512 (order 0, 4096 bytes)
[ 3.783457] NET: Registered protocol family 2
[ 3.784161] tcp_listen_portaddr_hash hash table entries: 2048 (order: 3, 32768 bytes, linear)
[ 3.784281] TCP established hash table entries: 32768 (order: 6, 262144 bytes, linear)
[ 3.784760] TCP bind hash table entries: 32768 (order: 7, 524288 bytes, linear)
[ 3.785622] TCP: Hash tables configured (established 32768 bind 32768)
[ 3.785752] UDP hash table entries: 2048 (order: 4, 65536 bytes, linear)
[ 3.785931] UDP-Lite hash table entries: 2048 (order: 4, 65536 bytes, linear)
[ 3.786303] NET: Registered protocol family 1
[ 3.786805] RPC: Registered named UNIX socket transport module.
[ 3.786827] RPC: Registered udp transport module.
[ 3.786843] RPC: Registered tcp transport module.
[ 3.786859] RPC: Registered tcp NFSv4.1 backchannel transport module.
[ 3.787354] PCI: CLS 0 bytes, default 64
[ 3.852206] Initialise system trusted keyrings
[ 3.852373] workingset: timestamp_bits=46 max_order=20 bucket_order=0
[ 3.853357] squashfs: version 4.0 (2009/01/31) Phillip Lougher
[ 3.854064] NFS: Registering the id_resolver key type
[ 3.854096] Key type id_resolver registered
[ 3.854113] Key type id_legacy registered
[ 3.854161] nfs4filelayout_init: NFSv4 File Layout Driver Registering…
[ 3.854211] jffs2: version 2.2. (NAND) (SUMMARY) © 2001-2006 Red Hat, Inc.
[ 3.883872] NET: Registered protocol family 38
[ 3.883895] Key type asymmetric registered
[ 3.883912] Asymmetric key parser ‘x509’ registered
[ 3.883969] Block layer SCSI generic (bsg) driver version 0.4 loaded (major 245)
[ 3.884137] io scheduler mq-deadline registered
[ 3.884158] io scheduler kyber registered
[ 3.887811] ps_pcie_dma init()
[ 3.942580] Serial: 8250/16550 driver, 4 ports, IRQ sharing disabled
[ 3.944650] Serial: AMBA driver
[ 3.949077] cacheinfo: Unable to detect cache hierarchy for CPU 0
[ 3.958337] brd: module loaded
[ 3.967362] loop: module loaded
[ 3.968887] mtdoops: mtd device (mtddev=name/number) must be supplied
[ 3.972074] libphy: Fixed MDIO Bus: probed
[ 3.974516] tun: Universal TUN/TAP device driver, 1.6
[ 3.974695] CAN device driver interface
[ 3.978384] usbcore: registered new interface driver cdc_acm
[ 3.978405] cdc_acm: USB Abstract Control Model driver for USB modems and ISDN adapters
[ 3.978469] usbcore: registered new interface driver cdc_wdm
[ 3.978543] usbcore: registered new interface driver usb-storage
[ 3.978659] usbcore: registered new interface driver usbserial_generic
[ 3.978709] usbserial: USB Serial support registered for generic
[ 3.978765] usbcore: registered new interface driver usb_serial_simple
[ 3.978813] usbserial: USB Serial support registered for carelink
[ 3.978857] usbserial: USB Serial support registered for zio
[ 3.978901] usbserial: USB Serial support registered for funsoft
[ 3.978952] usbserial: USB Serial support registered for flashloader
[ 3.979001] usbserial: USB Serial support registered for google
[ 3.979048] usbserial: USB Serial support registered for libtransistor
[ 3.979094] usbserial: USB Serial support registered for vivopay
[ 3.979138] usbserial: USB Serial support registered for moto_modem
[ 3.979184] usbserial: USB Serial support registered for motorola_tetra
[ 3.979234] usbserial: USB Serial support registered for novatel_gps
[ 3.979281] usbserial: USB Serial support registered for hp4x
[ 3.979326] usbserial: USB Serial support registered for suunto
[ 3.979371] usbserial: USB Serial support registered for siemens_mpi
[ 3.980198] rtc_zynqmp ffa60000.rtc: registered as rtc0
[ 3.980302] i2c /dev entries driver
[ 3.984685] device-mapper: ioctl: 4.41.0-ioctl (2019-09-16) initialised: dm-devel@redhat.com
[ 3.984821] Bluetooth: HCI UART driver ver 2.3
[ 3.984843] Bluetooth: HCI UART protocol H4 registered
[ 3.984860] Bluetooth: HCI UART protocol BCSP registered
[ 3.984907] Bluetooth: HCI UART protocol LL registered
[ 3.984925] Bluetooth: HCI UART protocol ATH3K registered
[ 3.984968] Bluetooth: HCI UART protocol Three-wire (H5) registered
[ 3.985060] Bluetooth: HCI UART protocol Intel registered
[ 3.985109] Bluetooth: HCI UART protocol QCA registered
[ 3.985177] usbcore: registered new interface driver bcm203x
[ 3.985243] usbcore: registered new interface driver bpa10x
[ 3.985308] usbcore: registered new interface driver bfusb
[ 3.985380] usbcore: registered new interface driver btusb
[ 3.985471] usbcore: registered new interface driver ath3k
[ 3.985732] EDAC MC: ECC not enabled
[ 3.986039] EDAC DEVICE0: Giving out device to module zynqmp-ocm-edac controller zynqmp_ocm: DEV ff960000.mem-controller (INTERRUPT)
[ 3.986983] sdhci: Secure Digital Host Controller Interface driver
[ 3.987004] sdhci: Copyright(c) Pierre Ossman
[ 3.987019] sdhci-pltfm: SDHCI platform and OF driver helper
[ 3.987701] ledtrig-cpu: registered to indicate activity on CPUs
[ 3.987806] zynqmp_firmware_probe Platform Management API v1.1
[ 3.987829] zynqmp_firmware_probe Trustzone version v1.0
[ 4.033598] alg: No test for xilinx-zynqmp-aes (zynqmp-aes)
[ 4.033864] zynqmp_aes zynqmp_aes: AES Successfully Registered
[ 4.033864]
[ 4.034245] alg: No test for xilinx-keccak-384 (zynqmp-keccak-384)
[ 4.034758] alg: No test for xilinx-zynqmp-rsa (zynqmp-rsa)
[ 4.035268] usbcore: registered new interface driver usbhid
[ 4.035289] usbhid: USB HID core driver
[ 4.035670] xlnk xlnk: Major 242
[ 4.035855] xlnk xlnk: xlnk driver loaded
[ 4.035877] xlnk xlnk: xlnk_pdev is not null
[ 4.039933] ARM CCI_400_r1 PMU driver probed
[ 4.040919] fpga_manager fpga0: Xilinx ZynqMP FPGA Manager registered
[ 4.041761] usbcore: registered new interface driver snd-usb-audio
[ 4.043470] pktgen: Packet Generator for packet performance testing. Version: 2.75
[ 4.044421] IPVS: Registered protocols (TCP, UDP)
[ 4.044487] IPVS: Connection hash table configured (size=4096, memory=64Kbytes)
[ 4.044676] IPVS: ipvs loaded.
[ 4.044695] IPVS: [rr] scheduler registered.
[ 4.044960] Initializing XFRM netlink socket
[ 4.045133] NET: Registered protocol family 10
[ 4.046021] Segment Routing with IPv6
[ 4.046299] sit: IPv6, IPv4 and MPLS over IPv4 tunneling driver
[ 4.046962] NET: Registered protocol family 17
[ 4.046999] NET: Registered protocol family 15
[ 4.047046] bridge: filtering via arp/ip/ip6tables is no longer available by default. Update your scripts to d br_netfilter if you need this.
[ 4.047076] can: controller area network core (rev 20170425 abi 9)
[ 4.047141] NET: Registered protocol family 29
[ 4.047161] can: raw protocol (rev 20170425)
[ 4.047178] can: broadcast manager protocol (rev 20170425 t)
[ 4.047200] can: netlink gateway (rev 20190810) max_hops=1
[ 4.047358] Bluetooth: RFCOMM TTY layer initialized
[ 4.047384] Bluetooth: RFCOMM socket layer initialized
[ 4.047416] Bluetooth: RFCOMM ver 1.11
[ 4.047441] Bluetooth: BNEP (Ethernet Emulation) ver 1.3
[ 4.047459] Bluetooth: BNEP filters: protocol multicast
[ 4.047480] Bluetooth: BNEP socket layer initialized
[ 4.047498] Bluetooth: HIDP (Human Interface Emulation) ver 1.2
[ 4.047519] Bluetooth: HIDP socket layer initialized
[ 4.047747] 9pnet: Installing 9P2000 support
[ 4.047784] Key type dns_resolver registered
[ 4.048370] registered taskstats version 1
[ 4.048390] Loading compiled-in X.509 certificates
[ 4.050255] Btrfs loaded, crc32c=crc32c-generic
[ 4.066308] ff000000.serial: ttyPS0 at MMIO 0xff000000 (irq = 48, base_baud = 6249999) is a xuartps
[ 5.407046] printk: console [ttyPS0] enabled
[ 5.412081] of-fpga-region fpga-full: FPGA Region probed
[ 5.419109] nwl-pcie fd0e0000.pcie: Link is DOWN
[ 5.423824] nwl-pcie fd0e0000.pcie: host bridge /amba/pcie@fd0e0000 ranges:
[ 5.430847] nwl-pcie fd0e0000.pcie: MEM 0xe0000000…0xefffffff → 0xe0000000
[ 5.438110] nwl-pcie fd0e0000.pcie: MEM 0x600000000…0x7ffffffff → 0x600000000
[ 5.445848] nwl-pcie fd0e0000.pcie: PCI host bridge to bus 0000:00
[ 5.452058] pci_bus 0000:00: root bus resource [bus 00-ff]
[ 5.457561] pci_bus 0000:00: root bus resource [mem 0xe0000000-0xefffffff]
[ 5.464452] pci_bus 0000:00: root bus resource [mem 0x600000000-0x7ffffffff pref]
[ 5.471994] pci 0000:00:00.0: [10ee:d011] type 01 class 0x060400
[ 5.478044] pci 0000:00:00.0: reg 0x10: [mem 0x00000000-0x000fffff]
[ 5.484396] pci 0000:00:00.0: PME# supported from D0 D1 D2 D3hot
[ 5.495778] pci 0000:00:00.0: BAR 0: assigned [mem 0xe0000000-0xe00fffff]
[ 5.502596] pci 0000:00:00.0: PCI bridge to [bus 01-0c]
[ 5.508681] xilinx-dpdma fd4c0000.dma: Xilinx DPDMA engine is probed
[ 5.515470] xilinx-zynqmp-dma fd500000.dma: ZynqMP DMA driver Probe success
[ 5.522780] xilinx-zynqmp-dma fd510000.dma: ZynqMP DMA driver Probe success
[ 5.530086] xilinx-zynqmp-dma fd520000.dma: ZynqMP DMA driver Probe success
[ 5.537396] xilinx-zynqmp-dma fd530000.dma: ZynqMP DMA driver Probe success
[ 5.544705] xilinx-zynqmp-dma fd540000.dma: ZynqMP DMA driver Probe success
[ 5.552025] xilinx-zynqmp-dma fd550000.dma: ZynqMP DMA driver Probe success
[ 5.559328] xilinx-zynqmp-dma fd560000.dma: ZynqMP DMA driver Probe success
[ 5.566645] xilinx-zynqmp-dma fd570000.dma: ZynqMP DMA driver Probe success
[ 5.574028] xilinx-zynqmp-dma ffa80000.dma: ZynqMP DMA driver Probe success
[ 5.581335] xilinx-zynqmp-dma ffa90000.dma: ZynqMP DMA driver Probe success
[ 5.588644] xilinx-zynqmp-dma ffaa0000.dma: ZynqMP DMA driver Probe success
[ 5.595952] xilinx-zynqmp-dma ffab0000.dma: ZynqMP DMA driver Probe success
[ 5.603254] xilinx-zynqmp-dma ffac0000.dma: ZynqMP DMA driver Probe success
[ 5.610557] xilinx-zynqmp-dma ffad0000.dma: ZynqMP DMA driver Probe success
[ 5.617874] xilinx-zynqmp-dma ffae0000.dma: ZynqMP DMA driver Probe success
[ 5.625184] xilinx-zynqmp-dma ffaf0000.dma: ZynqMP DMA driver Probe success
[ 5.632675] xilinx-psgtr fd400000.zynqmp_phy: Lane:3 type:8 protocol:4 pll_locked:yes
[ 5.644676] zynqmp_clk_divider_set_rate() set divider failed for pl0_ref_div1, ret = -13
[ 5.653257] xilinx-dp-snd-codec fd4a0000.zynqmp-display:zynqmp_dp_snd_codec0: Xilinx DisplayPort Sound Codec bed
[ 5.664227] xilinx-dp-snd-pcm zynqmp_dp_snd_pcm0: Xilinx DisplayPort Sound PCM probed
[ 5.672584] xilinx-dp-snd-pcm zynqmp_dp_snd_pcm1: Xilinx DisplayPort Sound PCM probed
[ 5.681382] xilinx-dp-snd-card fd4a0000.zynqmp-display:zynqmp_dp_snd_card: xilinx-dp-snd-codec-dai ↔ xilinx-snd-codec-dai mapping ok
[ 5.693945] xilinx-dp-snd-card fd4a0000.zynqmp-display:zynqmp_dp_snd_card: xilinx-dp-snd-codec-dai ↔ xilinx-snd-codec-dai mapping ok
[ 5.706334] xilinx-dp-snd-card fd4a0000.zynqmp-display:zynqmp_dp_snd_card: ASoC: no DMI vendor name!
[ 5.716188] xilinx-dp-snd-card fd4a0000.zynqmp-display:zynqmp_dp_snd_card: Xilinx DisplayPort Sound Card prob
[ 5.726492] OF: graph: no port node found in /amba/zynqmp-display@fd4a0000
[ 5.733568] [drm] Supports vblank timestamp caching Rev 2 (21.10.2013).
[ 5.740208] [drm] No driver support for vblank timestamp query.
[ 5.746276] xlnx-drm xlnx-drm.0: bound fd4a0000.zynqmp-display (ops 0xffff800010d7faa8)
[ 6.834200] [drm] Cannot find any crtc or sizes
[ 6.839222] [drm] Initialized xlnx 1.0.0 20130509 for fd4a0000.zynqmp-display on minor 0
[ 6.847379] zynqmp-display fd4a0000.zynqmp-display: ZynqMP DisplayPort Subsystem driver probed
[ 6.857002] spi_master spi0: cannot find modalias for /amba/spi@ff0f0000/flash@0
[ 6.864443] spi_master spi0: Failed to create SPI device for /amba/spi@ff0f0000/flash@0
[ 6.874769] macb ff0e0000.ethernet: Not enabling partial store and forward
[ 6.882647] libphy: MACB_mii_bus: probed
[ 6.890349] Generic PHY ff0e0000.ethernet-ffffffff:00: attached PHY driver [Generic PHY] (mii_bus:phy_addr=ff000.ethernet-ffffffff:00, irq=POLL)
[ 6.903610] macb ff0e0000.ethernet eth0: Cadence GEM rev 0x50070106 at 0xff0e0000 irq 32 (1e:76:a0:41:69:e9)
[ 6.914064] xilinx-axipmon ffa00000.perf-monitor: Probed Xilinx APM
[ 6.920916] xilinx-axipmon fd0b0000.perf-monitor: Probed Xilinx APM
[ 6.927700] xilinx-axipmon fd490000.perf-monitor: Probed Xilinx APM
[ 6.934487] xilinx-axipmon ffa10000.perf-monitor: Probed Xilinx APM
[ 6.941190] dwc3-of-simple ff9d0000.usb0: dwc3_simple_set_phydata: Can’t find usb3-phy
[ 6.949944] dwc3 fe200000.dwc3: Failed to get clk ‘ref’: -2
[ 6.957016] cdns-i2c ff020000.i2c: 400 kHz mmio ff020000 irq 34
[ 6.961261] xhci-hcd xhci-hcd.0.auto: xHCI Host Controller
[ 6.963775] cdns-i2c ff030000.i2c: 400 kHz mmio ff030000 irq 35
[ 6.968488] xhci-hcd xhci-hcd.0.auto: new USB bus registered, assigned bus number 1
[ 6.975094] cdns-wdt fd4d0000.watchdog: Xilinx Watchdog Timer with timeout 60s
[ 6.982226] xhci-hcd xhci-hcd.0.auto: hcc params 0x0238f625 hci version 0x100 quirks 0x0000000202010010
[ 6.989783] cdns-wdt ff150000.watchdog: Xilinx Watchdog Timer with timeout 10s
[ 6.998768] xhci-hcd xhci-hcd.0.auto: irq 55, io mem 0xfe200000
[ 7.006677] cpufreq: cpufreq_online: CPU0: Running at unlisted freq: 524999 KHz
[ 7.012409] usb usb1: New USB device found, idVendor=1d6b, idProduct=0002, bcdDevice= 5.04
[ 7.019273] cpufreq: cpufreq_online: CPU0: Unlisted initial frequency changed to: 599999 KHz
[ 7.027507] usb usb1: New USB device strings: Mfr=3, Product=2, SerialNumber=1
[ 7.043185] usb usb1: Product: xHCI Host Controller
[ 7.048082] usb usb1: Manufacturer: Linux 5.4.0-xilinx-v2020.2 xhci-hcd
[ 7.054715] usb usb1: SerialNumber: xhci-hcd.0.auto
[ 7.060177] hub 1-0:1.0: USB hub found
[ 7.063995] hub 1-0:1.0: 1 port detected
[ 7.068321] xhci-hcd xhci-hcd.0.auto: xHCI Host Controller
[ 7.073841] xhci-hcd xhci-hcd.0.auto: new USB bus registered, assigned bus number 2
[ 7.081533] xhci-hcd xhci-hcd.0.auto: Host supports USB 3.0 SuperSpeed
[ 7.083366] mmc0: SDHCI controller on ff160000.mmc [ff160000.mmc] using ADMA 64-bit
[ 7.088213] usb usb2: We don’t know the algorithms for LPM for this host, disabling LPM.
[ 7.104121] usb usb2: New USB device found, idVendor=1d6b, idProduct=0003, bcdDevice= 5.04
[ 7.112422] usb usb2: New USB device strings: Mfr=3, Product=2, SerialNumber=1
[ 7.119673] usb usb2: Product: xHCI Host Controller
[ 7.124568] usb usb2: Manufacturer: Linux 5.4.0-xilinx-v2020.2 xhci-hcd
[ 7.130935] mmc1: SDHCI controller on ff170000.mmc [ff170000.mmc] using ADMA 64-bit
[ 7.131201] usb usb2: SerialNumber: xhci-hcd.0.auto
[ 7.143754] rtc_zynqmp ffa60000.rtc: setting system clock to 1974-04-03T10:42:16 UTC (134217736)
[ 7.152573] of_cfs_init
[ 7.152986] hub 2-0:1.0: USB hub found
[ 7.155066] of_cfs_init: OK
[ 7.158834] hub 2-0:1.0: 1 port detected
[ 7.161816] cfg80211: Loading compiled-in X.509 certificates for regulatory database
[ 7.253003] mmc0: new HS200 MMC card at address 0001
[ 7.259689] mmcblk0: mmc0:0001 Q2J55L 7.09 GiB
[ 7.264642] mmcblk0boot0: mmc0:0001 Q2J55L partition 1 16.0 MiB
[ 7.270969] mmcblk0boot1: mmc0:0001 Q2J55L partition 2 16.0 MiB
[ 7.278063] mmcblk0rpmb: mmc0:0001 Q2J55L partition 3 4.00 MiB, chardev (243:0)
[ 7.325316] mmc1: Tuning failed, falling back to fixed sampling clock
[ 7.333955] mmc1: new ultra high speed SDR104 SDHC card at address b36b
[ 7.341273] mmcblk1: mmc1:b36b SDABC 29.1 GiB (ro)
[ 7.390843] mmc1: Tuning failed, falling back to fixed sampling clock
[ 7.402246] usb 1-1: new high-speed USB device number 2 using xhci-hcd
[ 7.482118] cfg80211: Loaded X.509 cert ‘sforshee: 00b28ddf47aef9cea7’
[ 7.488683] clk: Not disabling unused clocks
[ 7.493225] ALSA device list:
[ 7.496206] #0: DisplayPort monitor
[ 7.500444] platform regulatory.0: Direct firmware load for regulatory.db failed with error -2
[ 7.509101] cfg80211: failed to load regulatory.db
[ 7.514192] random: fast init done
[ 7.517900] mmcblk1: p1 p2
[ 7.522024] VFS: Cannot open root device “mmcblk0p2” or unknown-block(179,2): error -6
[ 7.529978] Please append a correct “root=” boot option; here are the available partitions:
[ 7.538369] 0100 65536 ram0
[ 7.538372] (driver?)
[ 7.544493] 0101 65536 ram1
[ 7.544496] (driver?)
[ 7.550628] 0102 65536 ram2
[ 7.550630] (driver?)
[ 7.556744] 0103 65536 ram3
[ 7.556746] (driver?)
[ 7.562864] 0104 65536 ram4
[ 7.562866] (driver?)
[ 7.568974] 0105 65536 ram5
[ 7.568976] (driver?)
[ 7.575097] 0106 65536 ram6
[ 7.575100] (driver?)
[ 7.581222] 0107 65536 ram7
[ 7.581224] (driver?)
[ 7.587351] 0108 65536 ram8
[ 7.587353] (driver?)
[ 7.592571] usb 1-1: New USB device found, idVendor=05e3, idProduct=0610, bcdDevice=93.04
[ 7.593469] 0109 65536 ram9
[ 7.593471] (driver?)
[ 7.601667] usb 1-1: New USB device strings: Mfr=1, Product=2, SerialNumber=0
[ 7.605421] 010a 65536 ram10
[ 7.605423] (driver?)
[ 7.607778] usb 1-1: Product: USB2.1 Hub
[ 7.614928] 010b 65536 ram11
[ 7.614931] (driver?)
[ 7.618767] usb 1-1: Manufacturer: GenesysLogic
[ 7.621124] 010c 65536 ram12
[ 7.621127] (driver?)
[ 7.641981] 010d 65536 ram13
[ 7.641983] (driver?)
[ 7.648199] 010e 65536 ram14
[ 7.648201] (driver?)
[ 7.654420] 010f 65536 ram15
[ 7.654423] (driver?)
[ 7.660621] b300 7438336 mmcblk0
[ 7.660625] driver: mmcblk
[ 7.664404] hub 1-1:1.0: USB hub found
[ 7.664651] b318 30542848 mmcblk1
[ 7.664655] driver: mmcblk
[ 7.667682] hub 1-1:1.0: 4 ports detected
[ 7.671196] b319 133120 mmcblk1p1 d7a8bd22-01
[ 7.671199]
[ 7.688818] b31a 8819518 mmcblk1p2 d7a8bd22-02
[ 7.688820]
[ 7.695631] Kernel panic - not syncing: VFS: Unable to mount root fs on unknown-block(179,2)
[ 7.704078] CPU: 2 PID: 1 Comm: swapper/0 Not tainted 5.4.0-xilinx-v2020.2 #1
[ 7.711211] Hardware name: xlnx,zynqmp (DT)
[ 7.715391] Call trace:
[ 7.717838] dump_backtrace+0x0/0x140
[ 7.721497] show_stack+0x14/0x20
[ 7.724815] dump_stack+0xac/0xd0
[ 7.728132] panic+0x140/0x30c
[ 7.731184] mount_block_root+0x1d0/0x284
[ 7.735194] mount_root+0x124/0x158
[ 7.738683] prepare_namespace+0x15c/0x1a4
[ 7.742781] kernel_init_freeable+0x234/0x258
[ 7.747139] kernel_init+0x10/0xfc
[ 7.750542] ret_from_fork+0x10/0x18
[ 7.754117] SMP: stopping secondary CPUs
[ 7.758041] Kernel Offset: disabled
[ 7.761527] CPU features: 0x0002,20002004
[ 7.765535] Memory Limit: none
[ 7.768589] —[ end Kernel panic - not syncing: VFS: Unable to mount root fs on unknown-block(179,2) ]—

Other answers online said it may be a problem with the partition size.
My SD card is 32g, but it only uses a little bit. How to adjust this partition? I first burn it through the following command:
sudo dd if=./ZCU115-2.7.0.img of=/dev/sdb bs=16MB
Is it useful to then adjust the partition size through gpart?


It seems that the burning to the sd card was successful.

ARCH_ZCU115 := aarch64
BSP_ZCU115 := ZCU115.bsp
BITSTREAM_ZCU115 := base/base.bit
FPGA_MANAGER_ZCU115 := 1
This is the ZCU115.spec file

make PREBUILT=./prebuild/pynq_rootfs_aarch64.tar.gz PYNQ_SDIST=./prebuild/pynq_sdist.tar.gz BOARDS=ZCU115
This is the compile command

This is a petalinux bsp built by myself, which has been successfully tested and started