Axi_dynclk and v_tc for HDMI in PYNQ

Hello,

I am using axi_dynclk and v_tc to generate HDMI horizontal and vertical signals, and then I want to send an image from PS to HDMI via VDMA. But after I set the mode of axi_dynclk and VDMA to 720p, and I started VDMA in Jupyter, the ILA connected to v_tc output shows nothing but all 0. I guess that the axi_dynclk or the v_tc didn’t work. Now I have no idea about how to start axi_dynclk, can anyone give me some ideas?