PYNQ build failed for custom board

I am using prebuilt image aarch64 and vivado hardware file (xsa) to generate pynq for my custom made board. I am not sure it is right way to do it or not, i just copied xsa file to hardware platform folder and modified the board specification file. I am getting the following error:

   ERROR: Command errored out with exit status 1:
     command: /usr/bin/python3 -c 'import sys, setuptools, tokenize; sys.argv[0] = '"'"'/tmp/pip-req-build-f5uleck9/setup.py'"'"'; __file__='"'"'/tmp/pip-req-build-f5uleck9/setup.py'"'"';f=getattr(tokenize, '"'"'open'"'"', open)(__file__);code=f.read().replace('"'"'\r\n'"'"', '"'"'\n'"'"');f.close();exec(compile(code, __file__, '"'"'exec'"'"'))' egg_info --egg-base /tmp/pip-pip-egg-info-e6i8szsr
         cwd: /tmp/pip-req-build-f5uleck9/
    Complete output (17 lines):
    Traceback (most recent call last):
      File "<string>", line 1, in <module>
      File "/tmp/pip-req-build-f5uleck9/setup.py", line 46, in <module>
        from pynq.utils import download_overlays
      File "/tmp/pip-req-build-f5uleck9/pynq/__init__.py", line 34, in <module>
        from .pl import PL
      File "/tmp/pip-req-build-f5uleck9/pynq/pl.py", line 44, in <module>
        from .pl_server import HWH
      File "/tmp/pip-req-build-f5uleck9/pynq/pl_server/__init__.py", line 42, in <module>
        from .xrt_device import XrtDevice
      File "/tmp/pip-req-build-f5uleck9/pynq/pl_server/xrt_device.py", line 42, in <module>
        from pynq._3rdparty import xrt
      File "/tmp/pip-req-build-f5uleck9/pynq/_3rdparty/xrt.py", line 44, in <module>
        libc = ctypes.CDLL(os.environ['XILINX_XRT'] + "/lib/libxrt_core.so")
      File "/usr/lib/python3.6/ctypes/__init__.py", line 348, in __init__
        self._handle = _dlopen(self._name, mode)
    OSError: /opt/xilinx/xrt/lib/libxrt_core.so: cannot open shared object file: No such file or directory
    ----------------------------------------
ERROR: Command errored out with exit status 1: python setup.py egg_info Check the logs for full command output.

How to resolve this, it doesn’t seem like a PYNQ issue. Any suggestion would be appreciated.

Thanks,
Mizan

1 Like

Try unsetting the XILINX_XRT environment variable in the shell you’re building with. It looks like it’s leaking into the chroot we use to build the image.

2 Likes

Thank you. The build has finished, but it is stuck at booting. Tried connecting displayport cable, still facing the same problem.

[    5.599139]   #0: DisplayPort monitor
[    5.603092] platform regulatory.0: Direct firmware load for regulatory.db failed with error -2
[    5.611711] cfg80211: failed to load regulatory.db
[    5.616635] Waiting for root device /dev/mmcblk0p2...
[    6.183950] [drm] Cannot find any crtc or sizes

[by the way, even though I have used the xsa to generate image for the custom board, I am using it on ZCU104 board. In my understanding [I could be wrong], if it is run with zcu104, it will support custom board as there is not much difference in the procedure right now.]

Here is full log:

Xilinx Zynq MP First Stage Boot Loader
Release 2020.1   Aug 31 2021  -  08:09:56
NOTICE:  ATF running on XCZU7EG/EV/silicon v4/RTL5.1 at 0xfffea000
NOTICE:  BL31: v2.2(release):v1.1-5588-g5918e656e
NOTICE:  BL31: Built : 08:11:33, Aug 31 2021


U-Boot 2020.01 (Aug 31 2021 - 08:08:34 +0000)

Board: Xilinx ZynqMP
DRAM:  2 GiB
usb dr_mode not found
PMUFW:  v1.1
EL Level:       EL2
Chip ID:        zu7
NAND:  0 MiB
MMC:   mmc@ff170000: 0
In:    serial@ff000000
Out:   serial@ff000000
Err:   serial@ff000000
Bootmode: LVL_SHFT_SD_MODE1
Reset reason:   EXTERNAL
Net:
ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
No ethernet found.

Hit any key to stop autoboot:  0
switch to partitions #0, OK
mmc0 is current device
Scanning mmc 0:1...
Found U-Boot script /boot.scr
1636 bytes read in 12 ms (132.8 KiB/s)
## Executing script at 20000000
17534536 bytes read in 1184 ms (14.1 MiB/s)
## Loading kernel from FIT Image at 10000000 ...
   Using 'conf@1' configuration
   Trying 'kernel@0' kernel subimage
     Description:  Linux Kernel
     Type:         Kernel Image
     Compression:  uncompressed
     Data Start:   0x100000d4
     Data Size:    17494528 Bytes = 16.7 MiB
     Architecture: AArch64
     OS:           Linux
     Load Address: 0x00080000
     Entry Point:  0x00080000
     Hash algo:    sha1
     Hash value:   7913e3efe9b2ce96d39cef6c1d9254fe48dfd457
   Verifying Hash Integrity ... sha1+ OK
## Loading fdt from FIT Image at 10000000 ...
   Using 'conf@1' configuration
   Trying 'fdt@0' fdt subimage
     Description:  Flattened Device Tree blob
     Type:         Flat Device Tree
     Compression:  uncompressed
     Data Start:   0x110af3cc
     Data Size:    38184 Bytes = 37.3 KiB
     Architecture: AArch64
     Hash algo:    sha1
     Hash value:   275e4a595be1a41add35a9d5d8ed13a4189f3369
   Verifying Hash Integrity ... sha1+ OK
   Booting using the fdt blob at 0x110af3cc
   Loading Kernel Image
   Loading Device Tree to 000000000fff3000, end 000000000ffff527 ... OK

Starting kernel ...

[    0.000000] Booting Linux on physical CPU 0x0000000000 [0x410fd034]
[    0.000000] Linux version 5.4.0-xilinx-v2020.1 (oe-user@oe-host) (gcc version 9.2.0 (GCC)) #1 SMP Tue Aug 31 08:03:49 UTC 2021
[    0.000000] Machine model: xlnx,zynqmp
[    0.000000] efi: Getting EFI parameters from FDT:
[    0.000000] efi: UEFI not found.
[    0.000000] cma: Reserved 128 MiB at 0x0000000077c00000
[    0.000000] psci: probing for conduit method from DT.
[    0.000000] psci: PSCIv1.1 detected in firmware.
[    0.000000] psci: Using standard PSCI v0.2 function IDs
[    0.000000] psci: MIGRATE_INFO_TYPE not supported.
[    0.000000] psci: SMC Calling Convention v1.1
[    0.000000] percpu: Embedded 22 pages/cpu s50392 r8192 d31528 u90112
[    0.000000] Detected VIPT I-cache on CPU0
[    0.000000] CPU features: detected: ARM erratum 845719
[    0.000000] Speculative Store Bypass Disable mitigation not required
[    0.000000] Built 1 zonelists, mobility grouping on.  Total pages: 515844
[    0.000000] Kernel command line: root=/dev/mmcblk0p2 rw earlyprintk rootfstype=ext4 rootwait devtmpfs.mount=1 uio_pdrv_genirq.of_id="generic-uio" clk_ignore_unused
[    0.000000] Dentry cache hash table entries: 262144 (order: 9, 2097152 bytes, linear)
[    0.000000] Inode-cache hash table entries: 131072 (order: 8, 1048576 bytes, linear)
[    0.000000] mem auto-init: stack:off, heap alloc:off, heap free:off
[    0.000000] Memory: 1906936K/2096128K available (11964K kernel code, 700K rwdata, 3612K rodata, 768K init, 533K bss, 58120K reserved, 131072K cma-reserved)
[    0.000000] rcu: Hierarchical RCU implementation.
[    0.000000] rcu:     RCU event tracing is enabled.
[    0.000000] rcu:     RCU restricting CPUs from NR_CPUS=8 to nr_cpu_ids=4.
[    0.000000] rcu: RCU calculated value of scheduler-enlistment delay is 25 jiffies.
[    0.000000] rcu: Adjusting geometry for rcu_fanout_leaf=16, nr_cpu_ids=4
[    0.000000] NR_IRQS: 64, nr_irqs: 64, preallocated irqs: 0
[    0.000000] GIC: Adjusting CPU interface base to 0x00000000f902f000
[    0.000000] GIC: Using split EOI/Deactivate mode
[    0.000000] random: get_random_bytes called from start_kernel+0x2a8/0x42c with crng_init=0
[    0.000000] arch_timer: cp15 timer(s) running at 100.00MHz (phys).
[    0.000000] clocksource: arch_sys_counter: mask: 0xffffffffffffff max_cycles: 0x171024e7e0, max_idle_ns: 440795205315 ns
[    0.000003] sched_clock: 56 bits at 100MHz, resolution 10ns, wraps every 4398046511100ns
[    0.000308] Console: colour dummy device 80x25
[    0.000496] printk: console [tty0] enabled
[    0.000518] Calibrating delay loop (skipped), value calculated using timer frequency.. 200.00 BogoMIPS (lpj=400000)
[    0.000533] pid_max: default: 32768 minimum: 301
[    0.000681] Mount-cache hash table entries: 4096 (order: 3, 32768 bytes, linear)
[    0.000698] Mountpoint-cache hash table entries: 4096 (order: 3, 32768 bytes, linear)
[    0.001682] ASID allocator initialised with 32768 entries
[    0.001744] rcu: Hierarchical SRCU implementation.
[    0.001937] EFI services will not be available.
[    0.002072] smp: Bringing up secondary CPUs ...
[    0.002409] Detected VIPT I-cache on CPU1
[    0.002442] CPU1: Booted secondary processor 0x0000000001 [0x410fd034]
[    0.002796] Detected VIPT I-cache on CPU2
[    0.002815] CPU2: Booted secondary processor 0x0000000002 [0x410fd034]
[    0.003148] Detected VIPT I-cache on CPU3
[    0.003167] CPU3: Booted secondary processor 0x0000000003 [0x410fd034]
[    0.003214] smp: Brought up 1 node, 4 CPUs
[    0.003253] SMP: Total of 4 processors activated.
[    0.003262] CPU features: detected: 32-bit EL0 Support
[    0.003270] CPU features: detected: CRC32 instructions
[    0.003306] CPU: All CPU(s) started at EL2
[    0.003324] alternatives: patching kernel code
[    0.004445] devtmpfs: initialized
[    0.008408] clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 7645041785100000 ns
[    0.008428] futex hash table entries: 1024 (order: 4, 65536 bytes, linear)
[    0.011227] xor: measuring software checksum speed
[    0.048020]    8regs     :  2375.000 MB/sec
[    0.088047]    32regs    :  2725.000 MB/sec
[    0.128082]    arm64_neon:  2365.000 MB/sec
[    0.128090] xor: using function: 32regs (2725.000 MB/sec)
[    0.128105] pinctrl core: initialized pinctrl subsystem
[    0.128739] NET: Registered protocol family 16
[    0.130047] DMA: preallocated 256 KiB pool for atomic allocations
[    0.130073] audit: initializing netlink subsys (disabled)
[    0.130153] audit: type=2000 audit(0.128:1): state=initialized audit_enabled=0 res=1
[    0.130410] cpuidle: using governor menu
[    0.130607] hw-breakpoint: found 6 breakpoint and 4 watchpoint registers.
[    0.142361] HugeTLB registered 1.00 GiB page size, pre-allocated 0 pages
[    0.142375] HugeTLB registered 32.0 MiB page size, pre-allocated 0 pages
[    0.142384] HugeTLB registered 2.00 MiB page size, pre-allocated 0 pages
[    0.142394] HugeTLB registered 64.0 KiB page size, pre-allocated 0 pages
[    1.217587] DRBG: Continuing without Jitter RNG
[    1.292840] raid6: neonx8   gen()  1549 MB/s
[    1.360880] raid6: neonx8   xor()  1466 MB/s
[    1.428923] raid6: neonx4   gen()  1488 MB/s
[    1.496957] raid6: neonx4   xor()  1429 MB/s
[    1.564998] raid6: neonx2   gen()  1133 MB/s
[    1.633060] raid6: neonx2   xor()  1190 MB/s
[    1.701133] raid6: neonx1   gen()   739 MB/s
[    1.769126] raid6: neonx1   xor()   895 MB/s
[    1.837185] raid6: int64x8  gen()  1164 MB/s
[    1.905232] raid6: int64x8  xor()   762 MB/s
[    1.973297] raid6: int64x4  gen()   983 MB/s
[    2.041339] raid6: int64x4  xor()   740 MB/s
[    2.109391] raid6: int64x2  gen()   683 MB/s
[    2.177417] raid6: int64x2  xor()   603 MB/s
[    2.245513] raid6: int64x1  gen()   451 MB/s
[    2.313538] raid6: int64x1  xor()   462 MB/s
[    2.313546] raid6: using algorithm neonx8 gen() 1549 MB/s
[    2.313553] raid6: .... xor() 1466 MB/s, rmw enabled
[    2.313561] raid6: using neon recovery algorithm
[    2.313909] iommu: Default domain type: Translated
[    2.314170] SCSI subsystem initialized
[    2.314316] usbcore: registered new interface driver usbfs
[    2.314347] usbcore: registered new interface driver hub
[    2.314377] usbcore: registered new device driver usb
[    2.314429] mc: Linux media interface: v0.10
[    2.314456] videodev: Linux video capture interface: v2.00
[    2.314479] pps_core: LinuxPPS API ver. 1 registered
[    2.314487] pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti <giometti@linux.it>
[    2.314504] PTP clock support registered
[    2.314525] EDAC MC: Ver: 3.0.0
[    2.314904] zynqmp-ipi-mbox mailbox@ff990400: Registered ZynqMP IPI mbox with TX/RX channels.
[    2.315082] FPGA manager framework
[    2.315214] Advanced Linux Sound Architecture Driver Initialized.
[    2.315498] Bluetooth: Core ver 2.22
[    2.315538] NET: Registered protocol family 31
[    2.315546] Bluetooth: HCI device and connection manager initialized
[    2.315557] Bluetooth: HCI socket layer initialized
[    2.315568] Bluetooth: L2CAP socket layer initialized
[    2.315582] Bluetooth: SCO socket layer initialized
[    2.315961] clocksource: Switched to clocksource arch_sys_counter
[    2.316061] VFS: Disk quotas dquot_6.6.0
[    2.316106] VFS: Dquot-cache hash table entries: 512 (order 0, 4096 bytes)
[    2.320152] NET: Registered protocol family 2
[    2.320495] tcp_listen_portaddr_hash hash table entries: 1024 (order: 2, 16384 bytes, linear)
[    2.320531] TCP established hash table entries: 16384 (order: 5, 131072 bytes, linear)
[    2.320643] TCP bind hash table entries: 16384 (order: 6, 262144 bytes, linear)
[    2.320842] TCP: Hash tables configured (established 16384 bind 16384)
[    2.320913] UDP hash table entries: 1024 (order: 3, 32768 bytes, linear)
[    2.320960] UDP-Lite hash table entries: 1024 (order: 3, 32768 bytes, linear)
[    2.321092] NET: Registered protocol family 1
[    2.321345] RPC: Registered named UNIX socket transport module.
[    2.321355] RPC: Registered udp transport module.
[    2.321362] RPC: Registered tcp transport module.
[    2.321368] RPC: Registered tcp NFSv4.1 backchannel transport module.
[    2.321601] PCI: CLS 0 bytes, default 64
[    2.322073] hw perfevents: no interrupt-affinity property for /pmu, guessing.
[    2.322230] hw perfevents: enabled with armv8_pmuv3 PMU driver, 7 counters available
[    2.322989] Initialise system trusted keyrings
[    2.323070] workingset: timestamp_bits=46 max_order=19 bucket_order=0
[    2.323810] NFS: Registering the id_resolver key type
[    2.323827] Key type id_resolver registered
[    2.323835] Key type id_legacy registered
[    2.323847] nfs4filelayout_init: NFSv4 File Layout Driver Registering...
[    2.323871] jffs2: version 2.2. (NAND) © 2001-2006 Red Hat, Inc.
[    2.337185] NET: Registered protocol family 38
[    2.337197] Key type asymmetric registered
[    2.337205] Asymmetric key parser 'x509' registered
[    2.337231] Block layer SCSI generic (bsg) driver version 0.4 loaded (major 246)
[    2.337301] io scheduler mq-deadline registered
[    2.337310] io scheduler kyber registered
[    2.362500] Serial: 8250/16550 driver, 4 ports, IRQ sharing disabled
[    2.365684] cacheinfo: Unable to detect cache hierarchy for CPU 0
[    2.369947] brd: module loaded
[    2.374326] loop: module loaded
[    2.375041] mtdoops: mtd device (mtddev=name/number) must be supplied
[    2.376406] libphy: Fixed MDIO Bus: probed
[    2.377338] tun: Universal TUN/TAP device driver, 1.6
[    2.377425] CAN device driver interface
[    2.378857] usbcore: registered new interface driver cdc_acm
[    2.378866] cdc_acm: USB Abstract Control Model driver for USB modems and ISDN adapters
[    2.378894] usbcore: registered new interface driver cdc_wdm
[    2.378941] usbcore: registered new interface driver usb-storage
[    2.378997] usbcore: registered new interface driver usbserial_generic
[    2.379019] usbserial: USB Serial support registered for generic
[    2.379046] usbcore: registered new interface driver usb_serial_simple
[    2.379067] usbserial: USB Serial support registered for carelink
[    2.379089] usbserial: USB Serial support registered for zio
[    2.379109] usbserial: USB Serial support registered for funsoft
[    2.379130] usbserial: USB Serial support registered for flashloader
[    2.379150] usbserial: USB Serial support registered for google
[    2.379170] usbserial: USB Serial support registered for libtransistor
[    2.379191] usbserial: USB Serial support registered for vivopay
[    2.379213] usbserial: USB Serial support registered for moto_modem
[    2.379234] usbserial: USB Serial support registered for motorola_tetra
[    2.379254] usbserial: USB Serial support registered for novatel_gps
[    2.379275] usbserial: USB Serial support registered for hp4x
[    2.379295] usbserial: USB Serial support registered for suunto
[    2.379315] usbserial: USB Serial support registered for siemens_mpi
[    2.379860] rtc_zynqmp ffa60000.rtc: registered as rtc0
[    2.379912] i2c /dev entries driver
[    2.381821] device-mapper: ioctl: 4.41.0-ioctl (2019-09-16) initialised: dm-devel@redhat.com
[    2.381887] Bluetooth: HCI UART driver ver 2.3
[    2.381896] Bluetooth: HCI UART protocol H4 registered
[    2.381904] Bluetooth: HCI UART protocol BCSP registered
[    2.381925] Bluetooth: HCI UART protocol LL registered
[    2.381934] Bluetooth: HCI UART protocol ATH3K registered
[    2.381955] Bluetooth: HCI UART protocol Three-wire (H5) registered
[    2.381995] Bluetooth: HCI UART protocol Intel registered
[    2.382015] Bluetooth: HCI UART protocol QCA registered
[    2.382049] usbcore: registered new interface driver bcm203x
[    2.382079] usbcore: registered new interface driver bpa10x
[    2.382107] usbcore: registered new interface driver bfusb
[    2.382138] usbcore: registered new interface driver btusb
[    2.382178] usbcore: registered new interface driver ath3k
[    2.382293] EDAC MC: ECC not enabled
[    2.382436] EDAC DEVICE0: Giving out device to module zynqmp-ocm-edac controller zynqmp_ocm: DEV ff960000.memory-controller (INTERRUPT)
[    2.382805] sdhci: Secure Digital Host Controller Interface driver
[    2.382814] sdhci: Copyright(c) Pierre Ossman
[    2.382821] sdhci-pltfm: SDHCI platform and OF driver helper
[    2.383123] ledtrig-cpu: registered to indicate activity on CPUs
[    2.383174] zynqmp_firmware_probe Platform Management API v1.1
[    2.383184] zynqmp_firmware_probe Trustzone version v1.0
[    2.407220] alg: No test for xilinx-zynqmp-aes (zynqmp-aes)
[    2.407362] zynqmp_aes zynqmp_aes: AES Successfully Registered
[    2.407362]
[    2.407528] alg: No test for xilinx-keccak-384 (zynqmp-keccak-384)
[    2.407769] alg: No test for xilinx-zynqmp-rsa (zynqmp-rsa)
[    2.408029] usbcore: registered new interface driver usbhid
[    2.408037] usbhid: USB HID core driver
[    2.408240] xlnk xlnk: Major 243
[    2.408330] xlnk xlnk: xlnk driver loaded
[    2.408340] xlnk xlnk: xlnk_pdev is not null
[    2.410261] fpga_manager fpga0: Xilinx ZynqMP FPGA Manager registered
[    2.410552] usbcore: registered new interface driver snd-usb-audio
[    2.411320] pktgen: Packet Generator for packet performance testing. Version: 2.75
[    2.411820] IPVS: Registered protocols (TCP, UDP)
[    2.411841] IPVS: Connection hash table configured (size=4096, memory=64Kbytes)
[    2.411942] IPVS: ipvs loaded.
[    2.411951] IPVS: [rr] scheduler registered.
[    2.412100] Initializing XFRM netlink socket
[    2.412185] NET: Registered protocol family 10
[    2.412640] Segment Routing with IPv6
[    2.412756] sit: IPv6, IPv4 and MPLS over IPv4 tunneling driver
[    2.413090] NET: Registered protocol family 17
[    2.413108] NET: Registered protocol family 15
[    2.413131] bridge: filtering via arp/ip/ip6tables is no longer available by default. Update your scripts to load br_netfilter if you need this.
[    2.413145] can: controller area network core (rev 20170425 abi 9)
[    2.413180] NET: Registered protocol family 29
[    2.413189] can: raw protocol (rev 20170425)
[    2.413197] can: broadcast manager protocol (rev 20170425 t)
[    2.413207] can: netlink gateway (rev 20190810) max_hops=1
[    2.413302] Bluetooth: RFCOMM TTY layer initialized
[    2.413315] Bluetooth: RFCOMM socket layer initialized
[    2.413334] Bluetooth: RFCOMM ver 1.11
[    2.413345] Bluetooth: BNEP (Ethernet Emulation) ver 1.3
[    2.413353] Bluetooth: BNEP filters: protocol multicast
[    2.413363] Bluetooth: BNEP socket layer initialized
[    2.413371] Bluetooth: HIDP (Human Interface Emulation) ver 1.2
[    2.413381] Bluetooth: HIDP socket layer initialized
[    2.413498] 9pnet: Installing 9P2000 support
[    2.413526] Key type dns_resolver registered
[    2.413835] registered taskstats version 1
[    2.413843] Loading compiled-in X.509 certificates
[    2.414247] Btrfs loaded, crc32c=crc32c-generic
[    2.422578] ff000000.serial: ttyPS0 at MMIO 0xff000000 (irq = 41, base_baud = 6249999) is a xuartps
[    3.752530] printk: console [ttyPS0] enabled
[    3.757220] ff010000.serial: ttyPS1 at MMIO 0xff010000 (irq = 42, base_baud = 6249999) is a xuartps
[    3.766554] of-fpga-region fpga-full: FPGA Region probed
[    3.773063] xilinx-dpdma fd4c0000.dma: Xilinx DPDMA engine is probed
[    3.779647] xilinx-zynqmp-dma fd500000.dma: ZynqMP DMA driver Probe success
[    3.786754] xilinx-zynqmp-dma fd510000.dma: ZynqMP DMA driver Probe success
[    3.793872] xilinx-zynqmp-dma fd520000.dma: ZynqMP DMA driver Probe success
[    3.800978] xilinx-zynqmp-dma fd530000.dma: ZynqMP DMA driver Probe success
[    3.808096] xilinx-zynqmp-dma fd540000.dma: ZynqMP DMA driver Probe success
[    3.815203] xilinx-zynqmp-dma fd550000.dma: ZynqMP DMA driver Probe success
[    3.822315] xilinx-zynqmp-dma fd560000.dma: ZynqMP DMA driver Probe success
[    3.829424] xilinx-zynqmp-dma fd570000.dma: ZynqMP DMA driver Probe success
[    3.836604] xilinx-zynqmp-dma ffa80000.dma: ZynqMP DMA driver Probe success
[    3.843716] xilinx-zynqmp-dma ffa90000.dma: ZynqMP DMA driver Probe success
[    3.850819] xilinx-zynqmp-dma ffaa0000.dma: ZynqMP DMA driver Probe success
[    3.857928] xilinx-zynqmp-dma ffab0000.dma: ZynqMP DMA driver Probe success
[    3.865033] xilinx-zynqmp-dma ffac0000.dma: ZynqMP DMA driver Probe success
[    3.872140] xilinx-zynqmp-dma ffad0000.dma: ZynqMP DMA driver Probe success
[    3.879245] xilinx-zynqmp-dma ffae0000.dma: ZynqMP DMA driver Probe success
[    3.886357] xilinx-zynqmp-dma ffaf0000.dma: ZynqMP DMA driver Probe success
[    3.893615] xilinx-psgtr fd400000.zynqmp_phy: Lane:1 type:8 protocol:4 pll_locked:yes
[    3.904347] xilinx-dp-snd-codec fd4a0000.zynqmp-display:zynqmp_dp_snd_codec0: Xilinx DisplayPort Sound Codec probed
[    3.915030] xilinx-dp-snd-pcm zynqmp_dp_snd_pcm0: Xilinx DisplayPort Sound PCM probed
[    3.923072] xilinx-dp-snd-pcm zynqmp_dp_snd_pcm1: Xilinx DisplayPort Sound PCM probed
[    3.931539] xilinx-dp-snd-card fd4a0000.zynqmp-display:zynqmp_dp_snd_card: xilinx-dp-snd-codec-dai <-> xilinx-dp-snd-codec-dai mapping ok
[    3.944036] xilinx-dp-snd-card fd4a0000.zynqmp-display:zynqmp_dp_snd_card: xilinx-dp-snd-codec-dai <-> xilinx-dp-snd-codec-dai mapping ok
[    3.956745] xilinx-dp-snd-card fd4a0000.zynqmp-display:zynqmp_dp_snd_card: Xilinx DisplayPort Sound Card probed
[    3.966913] OF: graph: no port node found in /amba/zynqmp-display@fd4a0000
[    3.973904] [drm] Supports vblank timestamp caching Rev 2 (21.10.2013).
[    3.980517] [drm] No driver support for vblank timestamp query.
[    3.986499] xlnx-drm xlnx-drm.0: bound fd4a0000.zynqmp-display (ops 0xffffffc010cfb198)
[    5.071990] [drm] Cannot find any crtc or sizes
[    5.076746] [drm] Initialized xlnx 1.0.0 20130509 for fd4a0000.zynqmp-display on minor 0
[    5.084854] zynqmp-display fd4a0000.zynqmp-display: ZynqMP DisplayPort Subsystem driver probed
[    5.093656] ahci-ceva fd0c0000.ahci: fd0c0000.ahci supply ahci not found, using dummy regulator
[    5.102387] ahci-ceva fd0c0000.ahci: fd0c0000.ahci supply phy not found, using dummy regulator
[    5.111033] ahci-ceva fd0c0000.ahci: fd0c0000.ahci supply target not found, using dummy regulator
[    5.120027] ahci-ceva fd0c0000.ahci: AHCI 0001.0301 32 slots 2 ports 6 Gbps 0x3 impl platform mode
[    5.128990] ahci-ceva fd0c0000.ahci: flags: 64bit ncq sntf pm clo only pmp fbs pio slum part ccc sds apst
[    5.139499] scsi host0: ahci-ceva
[    5.143061] scsi host1: ahci-ceva
[    5.146494] ata1: SATA max UDMA/133 mmio [mem 0xfd0c0000-0xfd0c1fff] port 0x100 irq 39
[    5.154414] ata2: SATA max UDMA/133 mmio [mem 0xfd0c0000-0xfd0c1fff] port 0x180 irq 39
[    5.162723] spi_master spi0: cannot find modalias for /amba/spi@ff0f0000/flash@0
[    5.170127] spi_master spi0: Failed to create SPI device for /amba/spi@ff0f0000/flash@0
[    5.178931] macb ff0e0000.ethernet: Not enabling partial store and forward
[    5.185842] macb ff0e0000.ethernet: invalid hw address, using random
[    5.192628] libphy: MACB_mii_bus: probed
[    5.199539] macb ff0e0000.ethernet eth0: Could not attach to PHY
[    5.228688] xilinx-axipmon ffa00000.perf-monitor: Probed Xilinx APM
[    5.235208] xilinx-axipmon fd0b0000.perf-monitor: Probed Xilinx APM
[    5.241696] xilinx-axipmon fd490000.perf-monitor: Probed Xilinx APM
[    5.248175] xilinx-axipmon ffa10000.perf-monitor: Probed Xilinx APM
[    5.254679] dwc3-of-simple ff9d0000.usb0: dwc3_simple_set_phydata: Can't find usb3-phy
[    5.263001] dwc3 fe200000.dwc3: Failed to get clk 'ref': -2
[    5.269323] cdns-i2c ff020000.i2c: 400 kHz mmio ff020000 irq 32
[    5.275785] xhci-hcd xhci-hcd.0.auto: xHCI Host Controller
[    5.281282] xhci-hcd xhci-hcd.0.auto: new USB bus registered, assigned bus number 1
[    5.289035] xhci-hcd xhci-hcd.0.auto: hcc params 0x0238f625 hci version 0x100 quirks 0x0000000202010010
[    5.298449] xhci-hcd xhci-hcd.0.auto: irq 49, io mem 0xfe200000
[    5.304566] cdns-wdt fd4d0000.watchdog: Xilinx Watchdog Timer with timeout 60s
[    5.312039] cdns-wdt ff150000.watchdog: Xilinx Watchdog Timer with timeout 10s
[    5.320579] usb usb1: New USB device found, idVendor=1d6b, idProduct=0002, bcdDevice= 5.04
[    5.328847] usb usb1: New USB device strings: Mfr=3, Product=2, SerialNumber=1
[    5.336068] usb usb1: Product: xHCI Host Controller
[    5.340940] usb usb1: Manufacturer: Linux 5.4.0-xilinx-v2020.1 xhci-hcd
[    5.347554] usb usb1: SerialNumber: xhci-hcd.0.auto
[    5.352879] hub 1-0:1.0: USB hub found
[    5.356649] hub 1-0:1.0: 1 port detected
[    5.360756] xhci-hcd xhci-hcd.0.auto: xHCI Host Controller
[    5.366250] xhci-hcd xhci-hcd.0.auto: new USB bus registered, assigned bus number 2
[    5.373919] xhci-hcd xhci-hcd.0.auto: Host supports USB 3.0 SuperSpeed
[    5.380553] usb usb2: We don't know the algorithms for LPM for this host, disabling LPM.
[    5.388715] usb usb2: New USB device found, idVendor=1d6b, idProduct=0003, bcdDevice= 5.04
[    5.396986] usb usb2: New USB device strings: Mfr=3, Product=2, SerialNumber=1
[    5.404212] usb usb2: Product: xHCI Host Controller
[    5.409086] usb usb2: Manufacturer: Linux 5.4.0-xilinx-v2020.1 xhci-hcd
[    5.415694] usb usb2: SerialNumber: xhci-hcd.0.auto
[    5.420666] mmc0: SDHCI controller on ff170000.mmc [ff170000.mmc] using ADMA 64-bit
[    5.430740] rtc_zynqmp ffa60000.rtc: setting system clock to 2021-09-01T05:10:14 UTC (1630473014)
[    5.439614] of_cfs_init
[    5.442077] of_cfs_init: OK
[    5.444985] cfg80211: Loading compiled-in X.509 certificates for regulatory database
[    5.453048] hub 2-0:1.0: USB hub found
[    5.456885] hub 2-0:1.0: 1 port detected
[    5.474183] ata2: SATA link down (SStatus 0 SControl 330)
[    5.479606] ata1: SATA link down (SStatus 0 SControl 330)
[    5.538965] mmc0: error -110 whilst initialising SD card
[    5.581643] cfg80211: Loaded X.509 cert 'sforshee: 00b28ddf47aef9cea7'
[    5.588171] clk: Not disabling unused clocks
[    5.592443] ALSA device list:
[    5.595403]   #0: DisplayPort monitor
[    5.599351] platform regulatory.0: Direct firmware load for regulatory.db failed with error -2
[    5.607970] cfg80211: failed to load regulatory.db
[    5.612905] Waiting for root device /dev/mmcblk0p2...
[    6.183985] [drm] Cannot find any crtc or sizes

I have changed the board name to zcu104 in the vivado project and generated the xsa file again. Tried with this new xsa file with following specification:

ARCH_custom := aarch64
BSP_custom := 
FPGA_MANAGER_custom := 1

STAGE4_PACKAGES_custom := pynq ethernet

build with following command:
make PREBUILT=./bionic.aarch64.2.6.0_2020_10_19.img BOARDS=custom
Still stuck in at the same place. Should I open a new post for this?

I’ve seen that error before when options haven’t been set for the SD card in the device-tree. Can you boot a normal petalinux image on the board?

I haven’t change any thing in device-tree? do i need to add/remove something in device tree file, can you suggest, what to change?
I have tried petalinux on my zcu104 board before, it was running okay.

For any board you generally need a piece of device-tree that expresses what’s on the board. For example, the ZCU104 has this device-tree with some properties that need to be set for the SD card to work. That’s why we strongly recommend working with an existing BSP file if one is available rather than starting from scratch.

1 Like

Thanks for the explanation Peter. I have tried with the current xsa file with petalinux. It is also not working. So, i will try to make a working petalinux for now.
I know about the device tree and what it does. But I don’t know much about how to edit, modify or add something to it. Right now, I am just experimenting with zcu104 (assuming it is the custom board as components still need to be soldered). But I am wondering, for the same board’s hardware file with the prebuilt image, it is not working with the zcu104 board, then it will be a very long run for the custom board to implement the design with PYNQ.

Dear @mizan @PeterOgden

did you resolve the issue now. I am facing the same thing now

Kind regards
Harish

yes, i did (as far as i remember :slight_smile: ). There is a bug (i think) in ZCU104 device tree. So, I added from somewhere this node to dtsi:

&sdhci1 {
	no-1-8-v;
	bus-width = <4>;
	disable-wp;
	cd-gpios = <&gpio 45 1>;	// cd-gpios = <&gpio 45 GPIO_ACTIVE_LOW>;
	cd-debounce-delay-ms = <500>;
	max-frequency = <100000000>;
	cap-sd-highspeed;
	sd-uhs-sdr12;
	sd-uhs-sdr25;
	sd-uhs-sdr50;
	sd-uhs-ddr50;
	keep-power-in-suspend;
	status = "okay";
};

Hello @mizan ,

thanks for your reply.
I have searched for the settings and its found that in components/plnx_workspace/device-tree/device-tree/ folder
there one file zynqmp.dtsi did you modify that.
also let me know whether the zcu104-recv.dtsi is used in your case.

kind regards,
harish

I can’t exactly remember which file I have modified or what was the file location. The only thing I can slightly remember is the file name was user-system.dtsi. The code for the node shared before, I have saved as text, that’s how I have provided you.

Dear @mizan ,

thanks for info. i will check

thanks