PYNQ hangs during HDMI initialization

Hi! I have a problem during a HDMI initialization on a custom board. The board uses the same chip as ZCU104 (ZU7EV). Vivado design is analogous to ZCU104. HDMI hardware have small changes in clocking and reset, but it works perfectly with Linux kernel drivers. When I run hdmi_introduction.ipnb, then PYNQ hangs on the second line of the code:

base = Overlay(‘base.bit’)
hdmi_in = base.video.hdmi_in
hdmi_out = base.video.hdmi_out

What could be a reason? The system hangs without any message. How can I get more details about a processed code?

Thanks
Paweł

1 Like