Sd image build error Pynq 3.0.1

Hi, I am trying to build Pynq 3.0.1 sd image. I followed steps from: PYNQ SD Card image — Python productivity for Zynq (Pynq) but at 4th step in PYNQ SD Card image — Python productivity for Zynq (Pynq) it resulted in error:

vagrant@ubuntu-bionic:/pynq/sdbuild$ make BOARDS=Pynq-Z2
/opt/qemu/bin/qemu-arm-static -version | fgrep 5.2.0
qemu-arm version 5.2.0
vivado -version | fgrep 2022.1
Vivado v2022.1 (64-bit)
vitis -version | fgrep 2022.1
****** Vitis v2022.1 (64-bit)
which petalinux-config
/workspace/Xilinx/PetaLinux/2022.1/tool/tools/common/petalinux/bin/petalinux-config
which arm-linux-gnueabihf-gcc
/workspace/Xilinx/Vitis/2022.1/gnu/aarch32/lin/gcc-arm-linux-gnueabi/bin/arm-linux-gnueabihf-gcc
which microblaze-xilinx-elf-gcc
/workspace/Xilinx/PetaLinux/2022.1/tool/tools/xsct/gnu/microblaze/lin/bin/microblaze-xilinx-elf-gcc
which ct-ng
/opt/crosstool-ng/bin/ct-ng
bash /pynq/sdbuild/scripts/check_env.sh
Checking system for required packages:
bc gperf bison flex texi2html texinfo help2man gawk libtool libtool-bin build-essential automake libglib2.0-dev device-tree-compiler qemu-user-static binfmt-support multistrap git lib32z1 libbz2-1.0 lib32stdc++6 libssl-dev kpartx zerofree u-boot-tools rpm2cpio libsdl1.2-dev rsync python3-pip gcc-multilib libidn11 curl libncurses5-dev lib32ncurses5
mkdir -p /pynq/sdbuild/build/Pynq-Z2
cp /pynq/sdbuild/boot/image_arm.its /pynq/sdbuild/build/Pynq-Z2/image.its
rm -rf /pynq/sdbuild/build/Pynq-Z2/petalinux_bsp
mkdir -p /pynq/sdbuild/build/Pynq-Z2/petalinux_bsp
BSP= BSP_BUILD=/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp BSP_ABS= BSP_PROJECT=xilinx-pynqz2-2022.1 /pynq/sdbuild/scripts/create_bsp.sh /pynq/sdbuild/../boards/Pynq-Z2 zynq
+ set -e
+ board=/pynq/sdbuild/../boards/Pynq-Z2
+ template=zynq
+ '[' -n '' ']'
+ cp -rf /pynq/sdbuild/../boards/Pynq-Z2/petalinux_bsp/hardware_project /pynq/sdbuild/../boards/Pynq-Z2/petalinux_bsp/meta-user /pynq/sdbuild/build/Pynq-Z2/petalinux_bsp
+ cd /pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project
+ '[' -e makefile ']'
+ make
make[1]: Entering directory '/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project'
vivado -mode batch -source pynqz2.tcl -notrace

****** Vivado v2022.1 (64-bit)
  **** SW Build 3526262 on Mon Apr 18 15:47:01 MDT 2022
  **** IP Build 3524634 on Mon Apr 18 20:55:01 MDT 2022
    ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.

source pynqz2.tcl -notrace
INFO: [BD::TCL 103-2003] Currently there is no design <pynqz2> in project, so creating one...
Wrote  : </pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.srcs/sources_1/bd/pynqz2/pynqz2.bd> 
INFO: [BD::TCL 103-2004] Making design <pynqz2> as current_bd_design.
INFO: [BD::TCL 103-2005] Currently the variable <design_name> is equal to "pynqz2".
INFO: [BD::TCL 103-2011] Checking if the following IPs exist in the project's IP catalog:  
xilinx.com:ip:processing_system7:5.5 xilinx.com:ip:proc_sys_reset:5.0 xilinx.com:ip:xlconcat:2.1  .
CRITICAL WARNING: [PSU-1]  Parameter : PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_2 has negative value -0.009 . PS DDR interfaces might fail when entering negative DQS skew values. 
CRITICAL WARNING: [PSU-2]  Parameter : PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_3 has negative value -0.033 . PS DDR interfaces might fail when entering negative DQS skew values. 
CRITICAL WARNING: [PSU-1]  Parameter : PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_2 has negative value -0.009 . PS DDR interfaces might fail when entering negative DQS skew values. 
CRITICAL WARNING: [PSU-2]  Parameter : PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_3 has negative value -0.033 . PS DDR interfaces might fail when entering negative DQS skew values. 
WARNING: [BD 5-700] No address spaces matched 'get_bd_addr_spaces -of_objects /ps7 -filter {path == /ps7/Data}'
WARNING: [BD 5-699] No address segments matched 'get_bd_addr_segs -of_objects {}'
Wrote  : </pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.srcs/sources_1/bd/pynqz2/pynqz2.bd> 
INFO: [Common 17-206] Exiting Vivado at Mon Jan 15 18:54:45 2024...
vivado -mode batch -source build_bitstream.tcl -notrace

****** Vivado v2022.1 (64-bit)
  **** SW Build 3526262 on Mon Apr 18 15:47:01 MDT 2022
  **** IP Build 3524634 on Mon Apr 18 20:55:01 MDT 2022
    ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.

source build_bitstream.tcl -notrace
Scanning sources...
Finished scanning sources
INFO: [IP_Flow 19-234] Refreshing IP repositories
INFO: [IP_Flow 19-1704] No user IP repositories specified
INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/workspace/Xilinx/Vivado/2022.1/data/ip'.
Reading block design file </pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.srcs/sources_1/bd/pynqz2/pynqz2.bd>...
Adding component instance block -- xilinx.com:ip:processing_system7:5.5 - ps7
Adding component instance block -- xilinx.com:ip:proc_sys_reset:5.0 - rst_ps7_0_fclk0
Adding component instance block -- xilinx.com:ip:proc_sys_reset:5.0 - rst_ps7_0_fclk1
Adding component instance block -- xilinx.com:ip:proc_sys_reset:5.0 - rst_ps7_0_fclk2
Adding component instance block -- xilinx.com:ip:proc_sys_reset:5.0 - rst_ps7_0_fclk3
Adding component instance block -- xilinx.com:ip:xlconcat:2.1 - xlconcat_0
Successfully read diagram <pynqz2> from block design file <./pynqz2/pynqz2.srcs/sources_1/bd/pynqz2/pynqz2.bd>
INFO: [BD 41-1662] The design 'pynqz2.bd' is already validated. Therefore parameter propagation will not be re-run.
Verilog Output written to : /pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.gen/sources_1/bd/pynqz2/synth/pynqz2.v
Verilog Output written to : /pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.gen/sources_1/bd/pynqz2/sim/pynqz2.v
Verilog Output written to : /pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.gen/sources_1/bd/pynqz2/hdl/pynqz2_wrapper.v
INFO: [Project 1-1716] Could not find the wrapper file ./pynqz2/pynqz2.srcs/sources_1/bd/pynqz2/hdl/pynqz2_wrapper.v, checking in project .gen location instead.
INFO: [Vivado 12-12390] Found file ./pynqz2/pynqz2.gen/sources_1/bd/pynqz2/hdl/pynqz2_wrapper.v, adding it to Project
INFO: [BD 41-1662] The design 'pynqz2.bd' is already validated. Therefore parameter propagation will not be re-run.
Verilog Output written to : /pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.gen/sources_1/bd/pynqz2/synth/pynqz2.v
Verilog Output written to : /pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.gen/sources_1/bd/pynqz2/sim/pynqz2.v
Verilog Output written to : /pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.gen/sources_1/bd/pynqz2/hdl/pynqz2_wrapper.v
INFO: [BD 41-1029] Generation completed for the IP Integrator block ps7 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block rst_ps7_0_fclk0 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block rst_ps7_0_fclk1 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block rst_ps7_0_fclk2 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block rst_ps7_0_fclk3 .
INFO: [BD 41-1029] Generation completed for the IP Integrator block xlconcat_0 .
Exporting to file /pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.gen/sources_1/bd/pynqz2/hw_handoff/pynqz2.hwh
Generated Hardware Definition File /pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.gen/sources_1/bd/pynqz2/synth/pynqz2.hwdef
[Mon Jan 15 18:55:25 2024] Launched pynqz2_rst_ps7_0_fclk3_0_synth_1, pynqz2_rst_ps7_0_fclk2_0_synth_1, pynqz2_rst_ps7_0_fclk1_0_synth_1, pynqz2_rst_ps7_0_fclk0_0_synth_1, pynqz2_ps7_0_synth_1, synth_1...
Run output will be captured here:
pynqz2_rst_ps7_0_fclk3_0_synth_1: /pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.runs/pynqz2_rst_ps7_0_fclk3_0_synth_1/runme.log
pynqz2_rst_ps7_0_fclk2_0_synth_1: /pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.runs/pynqz2_rst_ps7_0_fclk2_0_synth_1/runme.log
pynqz2_rst_ps7_0_fclk1_0_synth_1: /pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.runs/pynqz2_rst_ps7_0_fclk1_0_synth_1/runme.log
pynqz2_rst_ps7_0_fclk0_0_synth_1: /pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.runs/pynqz2_rst_ps7_0_fclk0_0_synth_1/runme.log
pynqz2_ps7_0_synth_1: /pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.runs/pynqz2_ps7_0_synth_1/runme.log
synth_1: /pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.runs/synth_1/runme.log
[Mon Jan 15 18:55:25 2024] Launched impl_1...
Run output will be captured here: /pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.runs/impl_1/runme.log
launch_runs: Time (s): cpu = 00:00:15 ; elapsed = 00:00:19 . Memory (MB): peak = 2730.828 ; gain = 32.016 ; free physical = 4389 ; free virtual = 5973
[Mon Jan 15 18:55:25 2024] Waiting for impl_1 to finish...
[Mon Jan 15 18:56:43 2024] impl_1 finished
WARNING: [Vivado 12-8222] Failed run(s) : 'pynqz2_rst_ps7_0_fclk3_0_synth_1', 'pynqz2_rst_ps7_0_fclk2_0_synth_1'
wait_on_runs: Time (s): cpu = 00:00:00 ; elapsed = 00:01:18 . Memory (MB): peak = 2730.828 ; gain = 0.000 ; free physical = 3465 ; free virtual = 3772
INFO: [Project 1-1937] No bit file available for '-include_bit'. To use this feature, run implementation through write_bitstream and then re-run write_hw_platform with '-include_bit'
INFO: [Project 1-1918] Creating Hardware Platform: /pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2.xsa ...
INFO: [Project 1-655] Project does not have Board Part set. Board related data may be missing or incomplete in the generated Hardware Platform.
WARNING: [Project 1-646] Board name, vendor and part not set in Hardware Platform.
WARNING: [Project 1-645] Board images not set in Hardware Platform.
INFO: [Project 1-1906] Skipping semantic label enumeration.
WARNING: [BD 41-2589] Platform should have atleast one axi memory mapped master interface. Enable a master AXI interface as platform AXI_PORT.
INFO: [PFM-62] PFM.AXI_PORT for ps7/M_AXI_GP0 does not have an sptag specified, so is being assigned an auto generated name of GP
INFO: [PFM-62] PFM.AXI_PORT for ps7/M_AXI_GP1 does not have an sptag specified, so is being assigned an auto generated name of GP
INFO: [PFM-62] PFM.AXI_PORT for ps7/S_AXI_ACP does not have an sptag specified, so is being assigned an auto generated name of ACP
INFO: [PFM-62] PFM.AXI_PORT for ps7/S_AXI_HP0 does not have an sptag specified, so is being assigned an auto generated name of HP
INFO: [PFM-62] PFM.AXI_PORT for ps7/S_AXI_HP1 does not have an sptag specified, so is being assigned an auto generated name of HP
INFO: [PFM-62] PFM.AXI_PORT for ps7/S_AXI_HP2 does not have an sptag specified, so is being assigned an auto generated name of HP
INFO: [PFM-62] PFM.AXI_PORT for ps7/S_AXI_HP3 does not have an sptag specified, so is being assigned an auto generated name of HP
CRITICAL WARNING: [PFM-48] Interrupt pin /xlconcat_0/In0 does not have an assigned id.  It is being assigned ID 1.
CRITICAL WARNING: [PFM-48] Interrupt pin /xlconcat_0/In1 does not have an assigned id.  It is being assigned ID 0.
CRITICAL WARNING: [PFM-48] Interrupt pin /xlconcat_0/In2 does not have an assigned id.  It is being assigned ID 2.
CRITICAL WARNING: [PFM-48] Interrupt pin /xlconcat_0/In3 does not have an assigned id.  It is being assigned ID 3.
CRITICAL WARNING: [PFM-48] Interrupt pin /xlconcat_0/In4 does not have an assigned id.  It is being assigned ID 4.
CRITICAL WARNING: [PFM-48] Interrupt pin /xlconcat_0/In5 does not have an assigned id.  It is being assigned ID 5.
CRITICAL WARNING: [PFM-48] Interrupt pin /xlconcat_0/In6 does not have an assigned id.  It is being assigned ID 6.
CRITICAL WARNING: [PFM-48] Interrupt pin /xlconcat_0/In7 does not have an assigned id.  It is being assigned ID 7.
CRITICAL WARNING: [PFM-48] Interrupt pin /xlconcat_0/In8 does not have an assigned id.  It is being assigned ID 8.
CRITICAL WARNING: [PFM-48] Interrupt pin /xlconcat_0/In9 does not have an assigned id.  It is being assigned ID 9.
CRITICAL WARNING: [PFM-48] Interrupt pin /xlconcat_0/In10 does not have an assigned id.  It is being assigned ID 10.
CRITICAL WARNING: [PFM-48] Interrupt pin /xlconcat_0/In11 does not have an assigned id.  It is being assigned ID 11.
CRITICAL WARNING: [PFM-48] Interrupt pin /xlconcat_0/In12 does not have an assigned id.  It is being assigned ID 12.
CRITICAL WARNING: [PFM-48] Interrupt pin /xlconcat_0/In13 does not have an assigned id.  It is being assigned ID 13.
CRITICAL WARNING: [PFM-48] Interrupt pin /xlconcat_0/In14 does not have an assigned id.  It is being assigned ID 14.
CRITICAL WARNING: [PFM-48] Interrupt pin /xlconcat_0/In15 does not have an assigned id.  It is being assigned ID 15.
INFO: [Project 1-1042] Successfully generated hpfm file
write_project_tcl: Time (s): cpu = 00:00:13 ; elapsed = 00:00:24 . Memory (MB): peak = 2738.859 ; gain = 8.031 ; free physical = 2927 ; free virtual = 3897
INFO: [Hsi 55-2053] elapsed time for repository (/workspace/Xilinx/Vivado/2022.1/data/embeddedsw) loading 7 seconds
ERROR: [Common 17-69] Command failed: Need an implemented design open to write bitstream. Aborting write_hw_platform..
INFO: [Common 17-206] Exiting Vivado at Mon Jan 15 18:57:41 2024...
makefile:16: recipe for target 'bitstream' failed
make[1]: *** [bitstream] Error 1
make[1]: Leaving directory '/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project'
Makefile:371: recipe for target '/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/xilinx-pynqz2-2022.1.bsp' failed
make: *** [/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/xilinx-pynqz2-2022.1.bsp] Error 2

Where problem could be?
I will very appreciate it if someone help me.

1 Like

Hi @KesM,

The issue is here:

`WARNING: [Vivado 12-8222] Failed run(s) : 'pynqz2_rst_ps7_0_fclk3_0_synth_1', 'pynqz2_rst_ps7_0_fclk2_0_synth_1'`

I suggest you check the project log. This problem could happen if you do not have enough memory in your system to run the implementation.

Mario

I checked log file:

/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.runs/pynqz2_rst_ps7_0_fclk3_0_synth_1/runme.log

:

*** Running vivado
    with args -log pynqz2_rst_ps7_0_fclk3_0.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source pynqz2_rst_ps7_0_fclk3_0.tcl


****** Vivado v2022.1 (64-bit)
  **** SW Build 3526262 on Mon Apr 18 15:47:01 MDT 2022
  **** IP Build 3524634 on Mon Apr 18 20:55:01 MDT 2022
    ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.

source pynqz2_rst_ps7_0_fclk3_0.tcl -notrace
create_project: Time (s): cpu = 00:00:03 ; elapsed = 00:00:08 . Memory (MB): peak = 2623.211 ; gain = 2.012 ; free physical = 3805 ; free virtual = 4890
Command: synth_design -top pynqz2_rst_ps7_0_fclk3_0 -part xc7z020clg400-1 -incremental_mode off -mode out_of_context
Starting synth_design
Attempting to get a license for feature 'Synthesis' and/or device 'xc7z020'
INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7z020'
INFO: [Device 21-403] Loading part xc7z020clg400-1
INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes.
INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes
INFO: [Synth 8-7075] Helper process launched with PID 10326
---------------------------------------------------------------------------------
Starting RTL Elaboration : Time (s): cpu = 00:00:03 ; elapsed = 00:00:09 . Memory (MB): peak = 2623.215 ; gain = 0.000 ; free physical = 804 ; free virtual = 2020
---------------------------------------------------------------------------------
INFO: [Synth 8-638] synthesizing module 'pynqz2_rst_ps7_0_fclk3_0' [/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.gen/sources_1/bd/pynqz2/ip/pynqz2_rst_ps7_0_fclk3_0/synth/pynqz2_rst_ps7_0_fclk3_0.vhd:74]
	Parameter C_FAMILY bound to: zynq - type: string 
	Parameter C_EXT_RST_WIDTH bound to: 4 - type: integer 
	Parameter C_AUX_RST_WIDTH bound to: 4 - type: integer 
	Parameter C_EXT_RESET_HIGH bound to: 1'b0 
	Parameter C_AUX_RESET_HIGH bound to: 1'b0 
	Parameter C_NUM_BUS_RST bound to: 1 - type: integer 
	Parameter C_NUM_PERP_RST bound to: 1 - type: integer 
	Parameter C_NUM_INTERCONNECT_ARESETN bound to: 1 - type: integer 
	Parameter C_NUM_PERP_ARESETN bound to: 1 - type: integer 
INFO: [Synth 8-3491] module 'proc_sys_reset' declared at '/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.gen/sources_1/bd/pynqz2/ipshared/8842/hdl/proc_sys_reset_v5_0_vh_rfs.vhd:1264' bound to instance 'U0' of component 'proc_sys_reset' [/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.gen/sources_1/bd/pynqz2/ip/pynqz2_rst_ps7_0_fclk3_0/synth/pynqz2_rst_ps7_0_fclk3_0.vhd:129]
INFO: [Synth 8-638] synthesizing module 'proc_sys_reset' [/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.gen/sources_1/bd/pynqz2/ipshared/8842/hdl/proc_sys_reset_v5_0_vh_rfs.vhd:1323]
	Parameter INIT bound to: 1'b1 
INFO: [Synth 8-113] binding component instance 'FDRE_inst' to cell 'FDRE' [/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.gen/sources_1/bd/pynqz2/ipshared/8842/hdl/proc_sys_reset_v5_0_vh_rfs.vhd:1392]
	Parameter INIT bound to: 1'b1 
INFO: [Synth 8-113] binding component instance 'FDRE_BSR' to cell 'FDRE' [/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.gen/sources_1/bd/pynqz2/ipshared/8842/hdl/proc_sys_reset_v5_0_vh_rfs.vhd:1408]
	Parameter INIT bound to: 1'b0 
INFO: [Synth 8-113] binding component instance 'FDRE_BSR_N' to cell 'FDRE' [/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.gen/sources_1/bd/pynqz2/ipshared/8842/hdl/proc_sys_reset_v5_0_vh_rfs.vhd:1434]
	Parameter INIT bound to: 1'b1 
INFO: [Synth 8-113] binding component instance 'FDRE_PER' to cell 'FDRE' [/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.gen/sources_1/bd/pynqz2/ipshared/8842/hdl/proc_sys_reset_v5_0_vh_rfs.vhd:1457]
	Parameter INIT bound to: 1'b0 
INFO: [Synth 8-113] binding component instance 'FDRE_PER_N' to cell 'FDRE' [/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.gen/sources_1/bd/pynqz2/ipshared/8842/hdl/proc_sys_reset_v5_0_vh_rfs.vhd:1481]
INFO: [Synth 8-638] synthesizing module 'lpf' [/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.gen/sources_1/bd/pynqz2/ipshared/8842/hdl/proc_sys_reset_v5_0_vh_rfs.vhd:816]
INFO: [Synth 8-3491] module 'SRL16' declared at '/workspace/Xilinx/Vivado/2022.1/scripts/rt/data/unisim_comp.v:105982' bound to instance 'POR_SRL_I' of component 'SRL16' [/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.gen/sources_1/bd/pynqz2/ipshared/8842/hdl/proc_sys_reset_v5_0_vh_rfs.vhd:868]
INFO: [Synth 8-6157] synthesizing module 'SRL16' [/workspace/Xilinx/Vivado/2022.1/scripts/rt/data/unisim_comp.v:105982]
INFO: [Synth 8-6155] done synthesizing module 'SRL16' (0#1) [/workspace/Xilinx/Vivado/2022.1/scripts/rt/data/unisim_comp.v:105982]
INFO: [Synth 8-638] synthesizing module 'cdc_sync' [/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.gen/sources_1/bd/pynqz2/ipshared/ef1e/hdl/lib_cdc_v1_0_rfs.vhd:106]
	Parameter INIT bound to: 1'b0 
INFO: [Synth 8-113] binding component instance 'CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to' to cell 'FDR' [/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.gen/sources_1/bd/pynqz2/ipshared/ef1e/hdl/lib_cdc_v1_0_rfs.vhd:514]
	Parameter INIT bound to: 1'b0 
INFO: [Synth 8-113] binding component instance 'CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2' to cell 'FDR' [/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.gen/sources_1/bd/pynqz2/ipshared/ef1e/hdl/lib_cdc_v1_0_rfs.vhd:545]
	Parameter INIT bound to: 1'b0 
INFO: [Synth 8-113] binding component instance 'CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3' to cell 'FDR' [/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.gen/sources_1/bd/pynqz2/ipshared/ef1e/hdl/lib_cdc_v1_0_rfs.vhd:554]
	Parameter INIT bound to: 1'b0 
INFO: [Synth 8-113] binding component instance 'CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4' to cell 'FDR' [/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.gen/sources_1/bd/pynqz2/ipshared/ef1e/hdl/lib_cdc_v1_0_rfs.vhd:564]
	Parameter INIT bound to: 1'b0 
INFO: [Synth 8-113] binding component instance 'CROSS_PLEVEL_IN2SCNDRY_s_level_out_d5' to cell 'FDR' [/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.gen/sources_1/bd/pynqz2/ipshared/ef1e/hdl/lib_cdc_v1_0_rfs.vhd:574]
	Parameter INIT bound to: 1'b0 
INFO: [Synth 8-113] binding component instance 'CROSS_PLEVEL_IN2SCNDRY_s_level_out_d6' to cell 'FDR' [/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.gen/sources_1/bd/pynqz2/ipshared/ef1e/hdl/lib_cdc_v1_0_rfs.vhd:584]
INFO: [Synth 8-256] done synthesizing module 'cdc_sync' (0#1) [/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.gen/sources_1/bd/pynqz2/ipshared/ef1e/hdl/lib_cdc_v1_0_rfs.vhd:106]
INFO: [Synth 8-256] done synthesizing module 'lpf' (0#1) [/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.gen/sources_1/bd/pynqz2/ipshared/8842/hdl/proc_sys_reset_v5_0_vh_rfs.vhd:816]
INFO: [Synth 8-638] synthesizing module 'sequence_psr' [/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.gen/sources_1/bd/pynqz2/ipshared/8842/hdl/proc_sys_reset_v5_0_vh_rfs.vhd:301]
INFO: [Synth 8-638] synthesizing module 'upcnt_n' [/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.gen/sources_1/bd/pynqz2/ipshared/8842/hdl/proc_sys_reset_v5_0_vh_rfs.vhd:125]
INFO: [Synth 8-256] done synthesizing module 'upcnt_n' (0#1) [/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.gen/sources_1/bd/pynqz2/ipshared/8842/hdl/proc_sys_reset_v5_0_vh_rfs.vhd:125]
INFO: [Synth 8-256] done synthesizing module 'sequence_psr' (0#1) [/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.gen/sources_1/bd/pynqz2/ipshared/8842/hdl/proc_sys_reset_v5_0_vh_rfs.vhd:301]
INFO: [Synth 8-256] done synthesizing module 'proc_sys_reset' (0#1) [/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.gen/sources_1/bd/pynqz2/ipshared/8842/hdl/proc_sys_reset_v5_0_vh_rfs.vhd:1323]
INFO: [Synth 8-256] done synthesizing module 'pynqz2_rst_ps7_0_fclk3_0' (0#1) [/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.gen/sources_1/bd/pynqz2/ip/pynqz2_rst_ps7_0_fclk3_0/synth/pynqz2_rst_ps7_0_fclk3_0.vhd:74]
WARNING: [Synth 8-7129] Port prmry_aclk in module cdc_sync is either unconnected or has no load
WARNING: [Synth 8-7129] Port prmry_resetn in module cdc_sync is either unconnected or has no load
WARNING: [Synth 8-7129] Port prmry_vect_in[1] in module cdc_sync is either unconnected or has no load
WARNING: [Synth 8-7129] Port prmry_vect_in[0] in module cdc_sync is either unconnected or has no load
WARNING: [Synth 8-7129] Port scndry_resetn in module cdc_sync is either unconnected or has no load
---------------------------------------------------------------------------------
Finished RTL Elaboration : Time (s): cpu = 00:00:04 ; elapsed = 00:00:17 . Memory (MB): peak = 2623.215 ; gain = 0.000 ; free physical = 674 ; free virtual = 1447
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Start Handling Custom Attributes
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Finished Handling Custom Attributes : Time (s): cpu = 00:00:04 ; elapsed = 00:00:18 . Memory (MB): peak = 2623.215 ; gain = 0.000 ; free physical = 574 ; free virtual = 1371
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:04 ; elapsed = 00:00:18 . Memory (MB): peak = 2623.215 ; gain = 0.000 ; free physical = 574 ; free virtual = 1371
---------------------------------------------------------------------------------
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2623.215 ; gain = 0.000 ; free physical = 456 ; free virtual = 1275
INFO: [Netlist 29-17] Analyzing 13 Unisim elements for replacement
INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
terminate called after throwing an instance of 'std::bad_alloc'
  what():  std::bad_alloc
INFO: [Project 1-570] Preparing netlist for logic optimization

Processing XDC Constraints
Initializing timing engine
Parsing XDC File [/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.gen/sources_1/bd/pynqz2/ip/pynqz2_rst_ps7_0_fclk3_0/pynqz2_rst_ps7_0_fclk3_0_ooc.xdc] for cell 'U0'
Finished Parsing XDC File [/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.gen/sources_1/bd/pynqz2/ip/pynqz2_rst_ps7_0_fclk3_0/pynqz2_rst_ps7_0_fclk3_0_ooc.xdc] for cell 'U0'
Parsing XDC File [/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.gen/sources_1/bd/pynqz2/ip/pynqz2_rst_ps7_0_fclk3_0/pynqz2_rst_ps7_0_fclk3_0_board.xdc] for cell 'U0'
Finished Parsing XDC File [/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.gen/sources_1/bd/pynqz2/ip/pynqz2_rst_ps7_0_fclk3_0/pynqz2_rst_ps7_0_fclk3_0_board.xdc] for cell 'U0'
Parsing XDC File [/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.gen/sources_1/bd/pynqz2/ip/pynqz2_rst_ps7_0_fclk3_0/pynqz2_rst_ps7_0_fclk3_0.xdc] for cell 'U0'
Finished Parsing XDC File [/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.gen/sources_1/bd/pynqz2/ip/pynqz2_rst_ps7_0_fclk3_0/pynqz2_rst_ps7_0_fclk3_0.xdc] for cell 'U0'
Parsing XDC File [/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.runs/pynqz2_rst_ps7_0_fclk3_0_synth_1/dont_touch.xdc]
Finished Parsing XDC File [/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.runs/pynqz2_rst_ps7_0_fclk3_0_synth_1/dont_touch.xdc]
Completed Processing XDC Constraints

/workspace/Xilinx/Vivado/2022.1/bin/loader: line 312: 10514 Aborted                 (core dumped) "$RDI_PROG" "$@"
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2639.219 ; gain = 0.000 ; free physical = 2634 ; free virtual = 2956
INFO: [Project 1-111] Unisim Transformation Summary:
  A total of 13 instances were transformed.
  FDR => FDRE: 12 instances
  SRL16 => SRL16E: 1 instance 

Constraint Validation Runtime : Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:01 . Memory (MB): peak = 2639.219 ; gain = 0.000 ; free physical = 2725 ; free virtual = 3062
INFO: [Designutils 20-5008] Incremental synthesis strategy off
---------------------------------------------------------------------------------
Finished Constraint Validation : Time (s): cpu = 00:00:12 ; elapsed = 00:01:20 . Memory (MB): peak = 2639.219 ; gain = 16.004 ; free physical = 2716 ; free virtual = 3181
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Start Loading Part and Timing Information
---------------------------------------------------------------------------------
Loading part: xc7z020clg400-1
---------------------------------------------------------------------------------
Finished Loading Part and Timing Information : Time (s): cpu = 00:00:12 ; elapsed = 00:01:21 . Memory (MB): peak = 2639.219 ; gain = 16.004 ; free physical = 2717 ; free virtual = 3184
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Start Applying 'set_property' XDC Constraints
---------------------------------------------------------------------------------
Applied set_property KEEP_HIERARCHY = SOFT for U0. (constraint file  /pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.runs/pynqz2_rst_ps7_0_fclk3_0_synth_1/dont_touch.xdc, line 9).
---------------------------------------------------------------------------------
Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:12 ; elapsed = 00:01:21 . Memory (MB): peak = 2639.219 ; gain = 16.004 ; free physical = 2715 ; free virtual = 3183
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:12 ; elapsed = 00:01:24 . Memory (MB): peak = 2639.219 ; gain = 16.004 ; free physical = 2648 ; free virtual = 3141
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Start RTL Component Statistics 
---------------------------------------------------------------------------------
Detailed RTL Component Info : 
+---Adders : 
	   2 Input    6 Bit       Adders := 1     
+---Registers : 
	                6 Bit    Registers := 1     
	                3 Bit    Registers := 3     
	                1 Bit    Registers := 8     
+---Muxes : 
	   2 Input    6 Bit        Muxes := 1     
	   2 Input    1 Bit        Muxes := 1     
---------------------------------------------------------------------------------
Finished RTL Component Statistics 
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Start Part Resource Summary
---------------------------------------------------------------------------------
Part Resources:
DSPs: 220 (col length:60)
BRAMs: 280 (col length: RAMB18 60 RAMB36 30)
---------------------------------------------------------------------------------
Finished Part Resource Summary
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Start Cross Boundary and Area Optimization
---------------------------------------------------------------------------------
WARNING: [Synth 8-7080] Parallel synthesis criteria is not met
WARNING: [Synth 8-3332] Sequential element (EXT_LPF/ACTIVE_LOW_EXT.ACT_LO_EXT/GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d5) is unused and will be removed from module proc_sys_reset.
WARNING: [Synth 8-3332] Sequential element (EXT_LPF/ACTIVE_LOW_EXT.ACT_LO_EXT/GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d6) is unused and will be removed from module proc_sys_reset.
WARNING: [Synth 8-3332] Sequential element (EXT_LPF/ACTIVE_LOW_AUX.ACT_LO_AUX/GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d5) is unused and will be removed from module proc_sys_reset.
WARNING: [Synth 8-3332] Sequential element (EXT_LPF/ACTIVE_LOW_AUX.ACT_LO_AUX/GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d6) is unused and will be removed from module proc_sys_reset.
---------------------------------------------------------------------------------
Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:13 ; elapsed = 00:01:27 . Memory (MB): peak = 2639.219 ; gain = 16.004 ; free physical = 2587 ; free virtual = 3104
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Start Applying XDC Timing Constraints
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:16 ; elapsed = 00:01:38 . Memory (MB): peak = 2639.219 ; gain = 16.004 ; free physical = 2092 ; free virtual = 2747
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Start Timing Optimization
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Finished Timing Optimization : Time (s): cpu = 00:00:16 ; elapsed = 00:01:38 . Memory (MB): peak = 2639.219 ; gain = 16.004 ; free physical = 2092 ; free virtual = 2747
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Start Technology Mapping
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Finished Technology Mapping : Time (s): cpu = 00:00:16 ; elapsed = 00:01:38 . Memory (MB): peak = 2639.219 ; gain = 16.004 ; free physical = 2081 ; free virtual = 2739
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Start IO Insertion
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Start Flattening Before IO Insertion
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Finished Flattening Before IO Insertion
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Start Final Netlist Cleanup
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Finished Final Netlist Cleanup
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Finished IO Insertion : Time (s): cpu = 00:00:19 ; elapsed = 00:01:44 . Memory (MB): peak = 2639.219 ; gain = 16.004 ; free physical = 1997 ; free virtual = 2727
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Start Renaming Generated Instances
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Finished Renaming Generated Instances : Time (s): cpu = 00:00:19 ; elapsed = 00:01:44 . Memory (MB): peak = 2639.219 ; gain = 16.004 ; free physical = 1997 ; free virtual = 2727
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Start Rebuilding User Hierarchy
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:19 ; elapsed = 00:01:45 . Memory (MB): peak = 2639.219 ; gain = 16.004 ; free physical = 1994 ; free virtual = 2725
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Start Renaming Generated Ports
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Finished Renaming Generated Ports : Time (s): cpu = 00:00:19 ; elapsed = 00:01:45 . Memory (MB): peak = 2639.219 ; gain = 16.004 ; free physical = 1994 ; free virtual = 2725
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Start Handling Custom Attributes
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Finished Handling Custom Attributes : Time (s): cpu = 00:00:19 ; elapsed = 00:01:45 . Memory (MB): peak = 2639.219 ; gain = 16.004 ; free physical = 1994 ; free virtual = 2725
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Start Renaming Generated Nets
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Finished Renaming Generated Nets : Time (s): cpu = 00:00:19 ; elapsed = 00:01:45 . Memory (MB): peak = 2639.219 ; gain = 16.004 ; free physical = 1994 ; free virtual = 2725
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Start Writing Synthesis Report
---------------------------------------------------------------------------------

Report BlackBoxes: 
+-+--------------+----------+
| |BlackBox name |Instances |
+-+--------------+----------+
+-+--------------+----------+

Report Cell Usage: 
+------+------+------+
|      |Cell  |Count |
+------+------+------+
|1     |LUT1  |     5|
|2     |LUT2  |     9|
|3     |LUT3  |     1|
|4     |LUT4  |     6|
|5     |LUT5  |     3|
|6     |LUT6  |     1|
|7     |SRL16 |     1|
|8     |FDR   |     8|
|9     |FDRE  |    28|
|10    |FDSE  |     4|
+------+------+------+
---------------------------------------------------------------------------------
Finished Writing Synthesis Report : Time (s): cpu = 00:00:19 ; elapsed = 00:01:45 . Memory (MB): peak = 2639.219 ; gain = 16.004 ; free physical = 1992 ; free virtual = 2723
---------------------------------------------------------------------------------
Synthesis finished with 0 errors, 0 critical warnings and 5 warnings.
Synthesis Optimization Runtime : Time (s): cpu = 00:00:15 ; elapsed = 00:00:58 . Memory (MB): peak = 2639.219 ; gain = 0.000 ; free physical = 2142 ; free virtual = 2873
Synthesis Optimization Complete : Time (s): cpu = 00:00:19 ; elapsed = 00:01:45 . Memory (MB): peak = 2639.227 ; gain = 16.004 ; free physical = 2142 ; free virtual = 2874
INFO: [Project 1-571] Translating synthesized netlist
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2639.227 ; gain = 0.000 ; free physical = 2126 ; free virtual = 2863
INFO: [Netlist 29-17] Analyzing 9 Unisim elements for replacement
INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
INFO: [Project 1-570] Preparing netlist for logic optimization
INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2639.227 ; gain = 0.000 ; free physical = 1701 ; free virtual = 2557
INFO: [Project 1-111] Unisim Transformation Summary:
  A total of 9 instances were transformed.
  FDR => FDRE: 8 instances
  SRL16 => SRL16E: 1 instance 

Synth Design complete, checksum: d1b9e64a
INFO: [Common 17-83] Releasing license: Synthesis
44 Infos, 10 Warnings, 0 Critical Warnings and 0 Errors encountered.
synth_design completed successfully
synth_design: Time (s): cpu = 00:00:22 ; elapsed = 00:01:58 . Memory (MB): peak = 2639.227 ; gain = 16.016 ; free physical = 2322 ; free virtual = 3183
INFO: [Common 17-1381] The checkpoint '/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.runs/pynqz2_rst_ps7_0_fclk3_0_synth_1/pynqz2_rst_ps7_0_fclk3_0.dcp' has been generated.
INFO: [Coretcl 2-1648] Added synthesis output to IP cache for IP pynqz2_rst_ps7_0_fclk3_0, cache-ID = 28910edd58b66885
INFO: [Coretcl 2-1174] Renamed 6 cell refs.
INFO: [Common 17-1381] The checkpoint '/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project/pynqz2/pynqz2.runs/pynqz2_rst_ps7_0_fclk3_0_synth_1/pynqz2_rst_ps7_0_fclk3_0.dcp' has been generated.
INFO: [runtcl-4] Executing : report_utilization -file pynqz2_rst_ps7_0_fclk3_0_utilization_synth.rpt -pb pynqz2_rst_ps7_0_fclk3_0_utilization_synth.pb
INFO: [Common 17-206] Exiting Vivado at Mon Jan 15 20:14:07 2024...

And there are no errors:

44 Infos, 10 Warnings, 0 Critical Warnings and 0 Errors encountered.
synth_design completed successfully

I am runing vagrant on PC with 64 GB ram and 2 TB disk free space, seems its not out of memory.

Ok, now I see that in one of log files there are error:

Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2623.215 ; gain = 0.000 ; free physical = 456 ; free virtual = 1275
INFO: [Netlist 29-17] Analyzing 13 Unisim elements for replacement
INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
Parent process (pid 10299) has died. This helper process will now exit
/workspace/Xilinx/Vivado/2022.1/bin/loader: line 312: 10299 Killed                  "$RDI_PROG" "$@"
terminate called after throwing an instance of 'std::bad_alloc'
  what():  std::bad_alloc
/workspace/Xilinx/Vivado/2022.1/bin/loader: line 312: 10515 Aborted                 (core dumped) "$RDI_PROG" "$@"

I will try to increase VM memory size. I didn’t change any defaults, using steps provided in docs.

1 Like

It worked, @marioruiz thank you very much!

now got permission error:

INFO: [Vivado 12-6066] Finished running validate_hw_platform for file: './pynqz2.xsa'
INFO: [Common 17-206] Exiting Vivado at Wed Jan 17 17:58:49 2024...

Built pynqz2 successfully!

make[1]: Leaving directory '/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project'
+ cd /pynq/sdbuild/build/Pynq-Z2/petalinux_bsp
+ petalinux-create --type project --template zynq --name xilinx-pynqz2-2022.1
INFO: Create project: xilinx-pynqz2-2022.1
INFO: New project successfully created in /pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/xilinx-pynqz2-2022.1
+ cd xilinx-pynqz2-2022.1
+ petalinux-config --get-hw-description=/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/hardware_project --silentconfig
[INFO] Sourcing buildtools
INFO: Getting hardware description...
INFO: Renaming pynqz2.xsa to system.xsa
[INFO] Generating Kconfig for project
[INFO] Silentconfig project
[INFO] Extracting yocto SDK to components/yocto. This may take time!
ERROR: Failed to Extract Yocto SDK.
ERROR: Failed to config project.
ERROR: Get hw description Failed!. Check the /pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/xilinx-pynqz2-2022.1/build/config.log file for more details...
Makefile:371: recipe for target '/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/xilinx-pynqz2-2022.1.bsp' failed
make: *** [/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/xilinx-pynqz2-2022.1.bsp] Error 255

/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/xilinx-pynqz2-2022.1/build/config.log:

...
*
* User Layers
*
user layer 0 (USER_LAYER_0) [] (NEW) 
#
# configuration written to /pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/xilinx-pynqz2-2022.1/project-spec/configs/config
#
[INFO] Extracting yocto SDK to components/yocto. This may take time!
PetaLinux Extensible SDK installer version 2022.1
=================================================
You are about to install the SDK to "/pynq/sdbuild/build/Pynq-Z2/petalinux_bsp/xilinx-pynqz2-2022.1/components/yocto". Proceed [Y/n]? Y
Extracting SDK...tar: ./layers/core/scripts/pybootchartgui/pybootchartgui/main.py: Cannot hard link to ‘./layers/core/scripts/pybootchartgui/pybootchartgui/main.py.in’: Operation not permitted
tar: ./layers/core/bitbake/bin/bitbake-dumpsig: Cannot hard link to ‘./layers/core/bitbake/bin/bitbake-diffsigs’: Operation not permitted
...tar: Exiting with failure status due to previous errors
ERROR: Failed to Extract Yocto SDK.

also side question, is it possible to rerun make, without cleaning all project? because I got file exists error, and I need to run make clean, despite previous make steps were successful and error was only in last step.

I think I’ve found the cause of problem:

The shared folders provide a minimal file system to allow exchange of files between the host and guest. With the VBoxManage command you have used you can now create symbolic links (ln -s) but hard links (ln) are not supported.

Wondering how you guys are building Pynq while “official” vagrant method gives so many errors