Pynq Image build for Z1 board error

i am having error while building image file for Pynq Z1 board with the following command

make BOARDS=Pynq-Z1

Any idea or help ?

Starting DRC Task
INFO: [DRC 23-27] Running DRC with 2 threads
INFO: [Project 1-461] DRC finished with 0 Errors
INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information.

Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 2573.332 ; gain = 16.004 ; free physical = 4627 ; free virtual = 6151

Starting Cache Timing Information Task
INFO: [Timing 38-35] Done setting XDC timing constraints.
Ending Cache Timing Information Task | Checksum: 18b03423e

Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 2688.145 ; gain = 114.812 ; free physical = 4238 ; free virtual = 5776

Starting Logic Optimization Task

Phase 1 Retarget
INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
INFO: [Opt 31-49] Retargeted 0 cell(s).
Phase 1 Retarget | Checksum: 17702236a

Time (s): cpu = 00:00:00.06 ; elapsed = 00:00:00.10 . Memory (MB): peak = 2866.113 ; gain = 0.000 ; free physical = 4061 ; free virtual = 5599
INFO: [Opt 31-389] Phase Retarget created 8 cells and removed 36 cells

Phase 2 Constant propagation
INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
Phase 2 Constant propagation | Checksum: 17702236a

Time (s): cpu = 00:00:00.06 ; elapsed = 00:00:00.11 . Memory (MB): peak = 2866.113 ; gain = 0.000 ; free physical = 4061 ; free virtual = 5599
INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells

Phase 3 Sweep
Phase 3 Sweep | Checksum: 1bc3d5116

Time (s): cpu = 00:00:00.15 ; elapsed = 00:00:00.21 . Memory (MB): peak = 2866.113 ; gain = 0.000 ; free physical = 4060 ; free virtual = 5598
INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 289 cells

Phase 4 BUFG optimization
Phase 4 BUFG optimization | Checksum: 1bc3d5116

Time (s): cpu = 00:00:00.16 ; elapsed = 00:00:00.22 . Memory (MB): peak = 2866.113 ; gain = 0.000 ; free physical = 4059 ; free virtual = 5598
INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells.

Phase 5 Shift Register Optimization
INFO: [Opt 31-1064] SRL Remap converted 0 SRLs to 0 registers and converted 0 registers of register chains to 0 SRLs
Phase 5 Shift Register Optimization | Checksum: 1bc3d5116

Time (s): cpu = 00:00:00.16 ; elapsed = 00:00:00.23 . Memory (MB): peak = 2866.113 ; gain = 0.000 ; free physical = 4059 ; free virtual = 5598
INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells

Phase 6 Post Processing Netlist
Phase 6 Post Processing Netlist | Checksum: 1bc3d5116

Time (s): cpu = 00:00:00.16 ; elapsed = 00:00:00.23 . Memory (MB): peak = 2866.113 ; gain = 0.000 ; free physical = 4059 ; free virtual = 5598
INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells
Opt_design Change Summary


| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations |

| Retarget | 8 | 36 | 0 |
| Constant propagation | 0 | 0 | 0 |
| Sweep | 0 | 289 | 0 |
| BUFG optimization | 0 | 0 | 0 |
| Shift Register Optimization | 0 | 0 | 0 |
| Post Processing Netlist | 0 | 0 | 0 |

Starting Connectivity Check Task

Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2866.113 ; gain = 0.000 ; free physical = 4059 ; free virtual = 5598
Ending Logic Optimization Task | Checksum: 1344ac07a

Time (s): cpu = 00:00:00.19 ; elapsed = 00:00:00.27 . Memory (MB): peak = 2866.113 ; gain = 0.000 ; free physical = 4059 ; free virtual = 5598

Starting Power Optimization Task
INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns.
Ending Power Optimization Task | Checksum: 1344ac07a

Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2866.113 ; gain = 0.000 ; free physical = 4058 ; free virtual = 5597

Starting Final Cleanup Task
Ending Final Cleanup Task | Checksum: 1344ac07a

Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2866.113 ; gain = 0.000 ; free physical = 4058 ; free virtual = 5597

Starting Netlist Obfuscation Task
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2866.113 ; gain = 0.000 ; free physical = 4058 ; free virtual = 5597
Ending Netlist Obfuscation Task | Checksum: 1344ac07a

Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2866.113 ; gain = 0.000 ; free physical = 4058 ; free virtual = 5597
INFO: [Common 17-83] Releasing license: Implementation
30 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
opt_design completed successfully
opt_design: Time (s): cpu = 00:00:09 ; elapsed = 00:00:10 . Memory (MB): peak = 2866.113 ; gain = 308.785 ; free physical = 4058 ; free virtual = 5597
INFO: [Timing 38-480] Writing timing data to binary archive.
Writing placer database…
Writing XDEF routing.
Writing XDEF routing logical nets.
Writing XDEF routing special nets.
Write XDEF Complete: Time (s): cpu = 00:00:00.07 ; elapsed = 00:00:00.04 . Memory (MB): peak = 2906.133 ; gain = 0.000 ; free physical = 4052 ; free virtual = 5594
INFO: [Common 17-1381] The checkpoint ‘/home/vijayguddad/PYNQ/sdbuild/build/Pynq-Z1/petalinux_bsp/hardware_project/pynqz1/pynqz1.runs/impl_1/pynqz1_wrapper_opt.dcp’ has been generated.
INFO: [runtcl-4] Executing : report_drc -file pynqz1_wrapper_drc_opted.rpt -pb pynqz1_wrapper_drc_opted.pb -rpx pynqz1_wrapper_drc_opted.rpx
Command: report_drc -file pynqz1_wrapper_drc_opted.rpt -pb pynqz1_wrapper_drc_opted.pb -rpx pynqz1_wrapper_drc_opted.rpx
INFO: [IP_Flow 19-1839] IP Catalog is up to date.
INFO: [Timing 38-35] Done setting XDC timing constraints.
INFO: [DRC 23-27] Running DRC with 2 threads
INFO: [Vivado_Tcl 2-168] The results of DRC are in file /home/vijayguddad/PYNQ/sdbuild/build/Pynq-Z1/petalinux_bsp/hardware_project/pynqz1/pynqz1.runs/impl_1/pynqz1_wrapper_drc_opted.rpt.
report_drc completed successfully
Command: place_design
Attempting to get a license for feature ‘Implementation’ and/or device ‘xc7z020’
INFO: [Common 17-349] Got license for feature ‘Implementation’ and/or device ‘xc7z020’
INFO: [DRC 23-27] Running DRC with 2 threads
INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors
INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information.
Running DRC as a precondition to command place_design
INFO: [DRC 23-27] Running DRC with 2 threads
INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors
INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information.

Starting Placer Task
INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 2 CPUs

Phase 1 Placer Initialization

Phase 1.1 Placer Initialization Netlist Sorting
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2939.152 ; gain = 0.000 ; free physical = 4033 ; free virtual = 5575
Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 10cca3786

Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2939.152 ; gain = 0.000 ; free physical = 4033 ; free virtual = 5575
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2939.152 ; gain = 0.000 ; free physical = 4033 ; free virtual = 5575

Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device
Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 10cca3786

Time (s): cpu = 00:00:00.29 ; elapsed = 00:00:00.36 . Memory (MB): peak = 2939.152 ; gain = 0.000 ; free physical = 4033 ; free virtual = 5577

Phase 1.3 Build Placer Netlist Model
Phase 1.3 Build Placer Netlist Model | Checksum: 15b10a0b8

Time (s): cpu = 00:00:00.43 ; elapsed = 00:00:00.52 . Memory (MB): peak = 2939.152 ; gain = 0.000 ; free physical = 4033 ; free virtual = 5578

Phase 1.4 Constrain Clocks/Macros
Phase 1.4 Constrain Clocks/Macros | Checksum: 15b10a0b8

Time (s): cpu = 00:00:00.43 ; elapsed = 00:00:00.53 . Memory (MB): peak = 2939.152 ; gain = 0.000 ; free physical = 4033 ; free virtual = 5578
Phase 1 Placer Initialization | Checksum: 15b10a0b8

Time (s): cpu = 00:00:00.43 ; elapsed = 00:00:00.53 . Memory (MB): peak = 2939.152 ; gain = 0.000 ; free physical = 4033 ; free virtual = 5578

Phase 2 Final Placement Cleanup
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2939.152 ; gain = 0.000 ; free physical = 4033 ; free virtual = 5578

Time (s): cpu = 00:00:00.43 ; elapsed = 00:00:00.54 . Memory (MB): peak = 2939.152 ; gain = 0.000 ; free physical = 4033 ; free virtual = 5578
INFO: [Place 30-281] No place-able instance is found; design doesn’t contain any instance or all instances are placed
Ending Placer Task | Checksum: 10cca3786

Time (s): cpu = 00:00:00.43 ; elapsed = 00:00:00.54 . Memory (MB): peak = 2939.152 ; gain = 0.000 ; free physical = 4034 ; free virtual = 5579
INFO: [Common 17-83] Releasing license: Implementation
47 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
place_design completed successfully
INFO: [Timing 38-480] Writing timing data to binary archive.
Writing placer database…
Writing XDEF routing.
Writing XDEF routing logical nets.
Writing XDEF routing special nets.
Write XDEF Complete: Time (s): cpu = 00:00:00.12 ; elapsed = 00:00:00.09 . Memory (MB): peak = 2939.152 ; gain = 0.000 ; free physical = 4032 ; free virtual = 5580
INFO: [Common 17-1381] The checkpoint ‘/home/vijayguddad/PYNQ/sdbuild/build/Pynq-Z1/petalinux_bsp/hardware_project/pynqz1/pynqz1.runs/impl_1/pynqz1_wrapper_placed.dcp’ has been generated.
INFO: [runtcl-4] Executing : report_io -file pynqz1_wrapper_io_placed.rpt
report_io: Time (s): cpu = 00:00:00.11 ; elapsed = 00:00:00.22 . Memory (MB): peak = 2939.152 ; gain = 0.000 ; free physical = 4023 ; free virtual = 5569
INFO: [runtcl-4] Executing : report_utilization -file pynqz1_wrapper_utilization_placed.rpt -pb pynqz1_wrapper_utilization_placed.pb
INFO: [runtcl-4] Executing : report_control_sets -verbose -file pynqz1_wrapper_control_sets_placed.rpt
report_control_sets: Time (s): cpu = 00:00:00.06 ; elapsed = 00:00:00.16 . Memory (MB): peak = 2939.152 ; gain = 0.000 ; free physical = 4032 ; free virtual = 5578
Command: phys_opt_design
Attempting to get a license for feature ‘Implementation’ and/or device ‘xc7z020’
INFO: [Common 17-349] Got license for feature ‘Implementation’ and/or device ‘xc7z020’
INFO: [Vivado_Tcl 4-241] Physical synthesis in post route mode ( 100.0% nets are fully routed)
INFO: [Timing 38-35] Done setting XDC timing constraints.
INFO: [Vivado_Tcl 4-383] Design worst setup slack (WNS) is greater than or equal to 0.000 ns. Skipping all physical synthesis optimizations.
INFO: [Vivado_Tcl 4-232] No setup violation found. The netlist was not modified.
INFO: [Common 17-83] Releasing license: Implementation
58 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
phys_opt_design completed successfully
INFO: [Timing 38-480] Writing timing data to binary archive.
Writing placer database…
Writing XDEF routing.
Writing XDEF routing logical nets.
Writing XDEF routing special nets.
Write XDEF Complete: Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.05 . Memory (MB): peak = 2939.152 ; gain = 0.000 ; free physical = 4026 ; free virtual = 5574
INFO: [Common 17-1381] The checkpoint ‘/home/vijayguddad/PYNQ/sdbuild/build/Pynq-Z1/petalinux_bsp/hardware_project/pynqz1/pynqz1.runs/impl_1/pynqz1_wrapper_physopt.dcp’ has been generated.
Command: route_design
Attempting to get a license for feature ‘Implementation’ and/or device ‘xc7z020’
INFO: [Common 17-349] Got license for feature ‘Implementation’ and/or device ‘xc7z020’
Running DRC as a precondition to command route_design
INFO: [DRC 23-27] Running DRC with 2 threads
INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors
INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information.

Starting Routing Task
INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 2 CPUs
Checksum: PlaceDB: 401615bb ConstDB: 0 ShapeSum: ccb421cb RouteDB: 0

Phase 1 Build RT Design
Phase 1 Build RT Design | Checksum: 11a0bcd3c

Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 3028.914 ; gain = 89.762 ; free physical = 3894 ; free virtual = 5442
Post Restoration Checksum: NetGraph: af787cf2 NumContArr: 6a93504a Constraints: 0 Timing: 0

Phase 2 Router Initialization

Phase 2.1 Create Timer
Phase 2.1 Create Timer | Checksum: 11a0bcd3c

Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 3028.914 ; gain = 89.762 ; free physical = 3891 ; free virtual = 5440

Phase 2.2 Fix Topology Constraints
Phase 2.2 Fix Topology Constraints | Checksum: 11a0bcd3c

Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 3028.914 ; gain = 89.762 ; free physical = 3882 ; free virtual = 5431

Phase 2.3 Pre Route Cleanup
Phase 2.3 Pre Route Cleanup | Checksum: 11a0bcd3c

Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 3028.914 ; gain = 89.762 ; free physical = 3882 ; free virtual = 5431
Number of Nodes with overlaps = 0

Phase 2.4 Update Timing
Phase 2.4 Update Timing | Checksum: cbf8d822

Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 3039.793 ; gain = 100.641 ; free physical = 3877 ; free virtual = 5426
Phase 2 Router Initialization | Checksum: cbf8d822

Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 3039.793 ; gain = 100.641 ; free physical = 3876 ; free virtual = 5426

Router Utilization Summary
Global Vertical Routing Utilization = 0 %
Global Horizontal Routing Utilization = 0 %
Routable Net Status*
*Does not include unroutable nets such as driverless and loadless.
Run report_route_status for detailed report.
Number of Failed Nets = 130
(Failed Nets is the sum of unrouted and partially routed nets)
Number of Unrouted Nets = 130
Number of Partially Routed Nets = 0
Number of Node Overlaps = 0

Phase 3 Initial Routing

Phase 3.1 Global Routing
Phase 3.1 Global Routing | Checksum: cbf8d822

Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 3040.918 ; gain = 101.766 ; free physical = 3875 ; free virtual = 5425
Number of Nodes with overlaps = 0
Phase 3 Initial Routing | Checksum: 3de5fc8d

Time (s): cpu = 00:00:15 ; elapsed = 00:00:14 . Memory (MB): peak = 3040.918 ; gain = 101.766 ; free physical = 3873 ; free virtual = 5424

Phase 4 Rip-up And Reroute

Phase 4.1 Global Iteration 0
Phase 4.1 Global Iteration 0 | Checksum: 3de5fc8d

Time (s): cpu = 00:00:15 ; elapsed = 00:00:14 . Memory (MB): peak = 3040.918 ; gain = 101.766 ; free physical = 3873 ; free virtual = 5424
Phase 4 Rip-up And Reroute | Checksum: 3de5fc8d

Time (s): cpu = 00:00:15 ; elapsed = 00:00:14 . Memory (MB): peak = 3040.918 ; gain = 101.766 ; free physical = 3873 ; free virtual = 5424

Phase 5 Delay and Skew Optimization

Phase 5.1 Delay CleanUp
Phase 5.1 Delay CleanUp | Checksum: 3de5fc8d

Time (s): cpu = 00:00:15 ; elapsed = 00:00:14 . Memory (MB): peak = 3040.918 ; gain = 101.766 ; free physical = 3873 ; free virtual = 5424

Phase 5.2 Clock Skew Optimization
Phase 5.2 Clock Skew Optimization | Checksum: 3de5fc8d

Time (s): cpu = 00:00:15 ; elapsed = 00:00:14 . Memory (MB): peak = 3040.918 ; gain = 101.766 ; free physical = 3873 ; free virtual = 5424
Phase 5 Delay and Skew Optimization | Checksum: 3de5fc8d

Time (s): cpu = 00:00:15 ; elapsed = 00:00:14 . Memory (MB): peak = 3040.918 ; gain = 101.766 ; free physical = 3873 ; free virtual = 5424

Phase 6 Post Hold Fix

Phase 6.1 Hold Fix Iter

Phase 6.1.1 Update Timing
Phase 6.1.1 Update Timing | Checksum: 3de5fc8d

Time (s): cpu = 00:00:15 ; elapsed = 00:00:14 . Memory (MB): peak = 3040.918 ; gain = 101.766 ; free physical = 3873 ; free virtual = 5424
Phase 6.1 Hold Fix Iter | Checksum: 3de5fc8d

Time (s): cpu = 00:00:15 ; elapsed = 00:00:14 . Memory (MB): peak = 3040.918 ; gain = 101.766 ; free physical = 3873 ; free virtual = 5424
Phase 6 Post Hold Fix | Checksum: 3de5fc8d

Time (s): cpu = 00:00:15 ; elapsed = 00:00:14 . Memory (MB): peak = 3040.918 ; gain = 101.766 ; free physical = 3873 ; free virtual = 5424

Phase 7 Route finalize

Router Utilization Summary
Global Vertical Routing Utilization = 0 %
Global Horizontal Routing Utilization = 0 %
Routable Net Status*
*Does not include unroutable nets such as driverless and loadless.
Run report_route_status for detailed report.
Number of Failed Nets = 0
(Failed Nets is the sum of unrouted and partially routed nets)
Number of Unrouted Nets = 0
Number of Partially Routed Nets = 0
Number of Node Overlaps = 0

Phase 7 Route finalize | Checksum: 3de5fc8d

Time (s): cpu = 00:00:15 ; elapsed = 00:00:14 . Memory (MB): peak = 3040.918 ; gain = 101.766 ; free physical = 3873 ; free virtual = 5424

Phase 8 Verifying routed nets

Verification completed successfully
Phase 8 Verifying routed nets | Checksum: 3de5fc8d

Time (s): cpu = 00:00:15 ; elapsed = 00:00:14 . Memory (MB): peak = 3040.918 ; gain = 101.766 ; free physical = 3872 ; free virtual = 5423

Phase 9 Depositing Routes
Phase 9 Depositing Routes | Checksum: 3de5fc8d

Time (s): cpu = 00:00:15 ; elapsed = 00:00:14 . Memory (MB): peak = 3040.918 ; gain = 101.766 ; free physical = 3872 ; free virtual = 5423

Phase 10 Post Router Timing
Phase 10 Post Router Timing | Checksum: 3de5fc8d

Time (s): cpu = 00:00:15 ; elapsed = 00:00:14 . Memory (MB): peak = 3040.918 ; gain = 101.766 ; free physical = 3872 ; free virtual = 5423
INFO: [Route 35-16] Router Completed Successfully

Time (s): cpu = 00:00:15 ; elapsed = 00:00:14 . Memory (MB): peak = 3040.918 ; gain = 101.766 ; free physical = 3881 ; free virtual = 5432

Routing Is Done.
INFO: [Common 17-83] Releasing license: Implementation
67 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
route_design completed successfully
route_design: Time (s): cpu = 00:00:16 ; elapsed = 00:00:15 . Memory (MB): peak = 3040.918 ; gain = 101.766 ; free physical = 3881 ; free virtual = 5432
INFO: [Common 17-600] The following parameters have non-default value.
general.maxThreads
INFO: [Timing 38-480] Writing timing data to binary archive.
Writing placer database…
Writing XDEF routing.
Writing XDEF routing logical nets.
Writing XDEF routing special nets.
Write XDEF Complete: Time (s): cpu = 00:00:00.07 ; elapsed = 00:00:00.05 . Memory (MB): peak = 3054.859 ; gain = 5.938 ; free physical = 3872 ; free virtual = 5426
INFO: [Common 17-1381] The checkpoint ‘/home/vijayguddad/PYNQ/sdbuild/build/Pynq-Z1/petalinux_bsp/hardware_project/pynqz1/pynqz1.runs/impl_1/pynqz1_wrapper_routed.dcp’ has been generated.
INFO: [runtcl-4] Executing : report_drc -file pynqz1_wrapper_drc_routed.rpt -pb pynqz1_wrapper_drc_routed.pb -rpx pynqz1_wrapper_drc_routed.rpx
Command: report_drc -file pynqz1_wrapper_drc_routed.rpt -pb pynqz1_wrapper_drc_routed.pb -rpx pynqz1_wrapper_drc_routed.rpx
INFO: [IP_Flow 19-1839] IP Catalog is up to date.
INFO: [DRC 23-27] Running DRC with 2 threads
INFO: [Vivado_Tcl 2-168] The results of DRC are in file /home/vijayguddad/PYNQ/sdbuild/build/Pynq-Z1/petalinux_bsp/hardware_project/pynqz1/pynqz1.runs/impl_1/pynqz1_wrapper_drc_routed.rpt.
report_drc completed successfully
INFO: [runtcl-4] Executing : report_methodology -file pynqz1_wrapper_methodology_drc_routed.rpt -pb pynqz1_wrapper_methodology_drc_routed.pb -rpx pynqz1_wrapper_methodology_drc_routed.rpx
Command: report_methodology -file pynqz1_wrapper_methodology_drc_routed.rpt -pb pynqz1_wrapper_methodology_drc_routed.pb -rpx pynqz1_wrapper_methodology_drc_routed.rpx
INFO: [Timing 38-35] Done setting XDC timing constraints.
INFO: [DRC 23-133] Running Methodology with 2 threads
INFO: [Vivado_Tcl 2-1520] The results of Report Methodology are in file /home/vijayguddad/PYNQ/sdbuild/build/Pynq-Z1/petalinux_bsp/hardware_project/pynqz1/pynqz1.runs/impl_1/pynqz1_wrapper_methodology_drc_routed.rpt.
report_methodology completed successfully
INFO: [runtcl-4] Executing : report_power -file pynqz1_wrapper_power_routed.rpt -pb pynqz1_wrapper_power_summary_routed.pb -rpx pynqz1_wrapper_power_routed.rpx
Command: report_power -file pynqz1_wrapper_power_routed.rpt -pb pynqz1_wrapper_power_summary_routed.pb -rpx pynqz1_wrapper_power_routed.rpx
INFO: [Timing 38-35] Done setting XDC timing constraints.
Running Vector-less Activity Propagation…

Finished Running Vector-less Activity Propagation
80 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
report_power completed successfully
INFO: [runtcl-4] Executing : report_route_status -file pynqz1_wrapper_route_status.rpt -pb pynqz1_wrapper_route_status.pb
INFO: [runtcl-4] Executing : report_timing_summary -max_paths 10 -file pynqz1_wrapper_timing_summary_routed.rpt -pb pynqz1_wrapper_timing_summary_routed.pb -rpx pynqz1_wrapper_timing_summary_routed.rpx -warn_on_violation
INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max.
INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs
INFO: [runtcl-4] Executing : report_incremental_reuse -file pynqz1_wrapper_incremental_reuse_routed.rpt
INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report.
INFO: [runtcl-4] Executing : report_clock_utilization -file pynqz1_wrapper_clock_utilization_routed.rpt
INFO: [runtcl-4] Executing : report_bus_skew -warn_on_violation -file pynqz1_wrapper_bus_skew_routed.rpt -pb pynqz1_wrapper_bus_skew_routed.pb -rpx pynqz1_wrapper_bus_skew_routed.rpx
INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max.
INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs
Command: write_bitstream -force pynqz1_wrapper.bit
Attempting to get a license for feature ‘Implementation’ and/or device ‘xc7z020’
INFO: [Common 17-349] Got license for feature ‘Implementation’ and/or device ‘xc7z020’
Running DRC as a precondition to command write_bitstream
INFO: [IP_Flow 19-1839] IP Catalog is up to date.
INFO: [DRC 23-27] Running DRC with 2 threads
INFO: [Vivado 12-3199] DRC finished with 0 Errors
INFO: [Vivado 12-3200] Please refer to the DRC report (report_drc) for more information.
INFO: [Designutils 20-2272] Running write_bitstream with 2 threads.
Loading data files…
Loading site data…
Loading route data…
Processing options…
Creating bitmap…
Creating bitstream…
Writing bitstream ./pynqz1_wrapper.bit…
INFO: [Vivado 12-1842] Bitgen Completed Successfully.
INFO: [#UNDEF] WebTalk data collection is mandatory when using a WebPACK part without a full Vivado license. To see the specific WebTalk data collected for your design, open the usage_statistics_webtalk.html or usage_statistics_webtalk.xml file in the implementation directory.
INFO: [Common 17-186] ‘/home/vijayguddad/PYNQ/sdbuild/build/Pynq-Z1/petalinux_bsp/hardware_project/pynqz1/pynqz1.runs/impl_1/usage_statistics_webtalk.xml’ has been successfully sent to Xilinx on Tue Sep 21 11:58:52 2021. For additional details about this file, please refer to the WebTalk help file at /media/psf/Home/Vivado/2021.1/doc/webtalk_introduction.html.
INFO: [Common 17-83] Releasing license: Implementation
13 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
write_bitstream completed successfully
write_bitstream: Time (s): cpu = 00:00:09 ; elapsed = 00:00:16 . Memory (MB): peak = 3415.480 ; gain = 342.875 ; free physical = 3843 ; free virtual = 5407
INFO: [Common 17-206] Exiting Vivado at Tue Sep 21 11:58:52 2021…
[Tue Sep 21 11:58:52 2021] impl_1 finished
wait_on_run: Time (s): cpu = 00:05:28 ; elapsed = 00:06:16 . Memory (MB): peak = 2720.254 ; gain = 0.000 ; free physical = 5395 ; free virtual = 6978
INFO: [Vivado 12-4895] Creating Hardware Platform: /home/vijayguddad/PYNQ/sdbuild/build/Pynq-Z1/petalinux_bsp/hardware_project/pynqz1.xsa …
INFO: [Project 1-655] Project does not have Board Part set. Board related data may be missing or incomplete in the generated Hardware Platform.
WARNING: [Project 1-646] Board name, vendor and part not set in Hardware Platform.
WARNING: [Project 1-645] Board images not set in Hardware Platform.
INFO: [Hsi 55-2053] elapsed time for repository (/media/psf/Home/Vivado/2021.1/data/embeddedsw) loading 1 seconds
WARNING: [BD 41-2589] Platform should have atleast one axi memory mapped master interface. Enable a master AXI interface as platform AXI_PORT.
CRITICAL WARNING: [PFM-48] Interrupt pin /xlconcat_0/In0 does not have an assigned id. It is being assigned ID 1.
CRITICAL WARNING: [PFM-48] Interrupt pin /xlconcat_0/In1 does not have an assigned id. It is being assigned ID 0.
CRITICAL WARNING: [PFM-48] Interrupt pin /xlconcat_0/In2 does not have an assigned id. It is being assigned ID 2.
CRITICAL WARNING: [PFM-48] Interrupt pin /xlconcat_0/In3 does not have an assigned id. It is being assigned ID 3.
CRITICAL WARNING: [PFM-48] Interrupt pin /xlconcat_0/In4 does not have an assigned id. It is being assigned ID 4.
CRITICAL WARNING: [PFM-48] Interrupt pin /xlconcat_0/In5 does not have an assigned id. It is being assigned ID 5.
CRITICAL WARNING: [PFM-48] Interrupt pin /xlconcat_0/In6 does not have an assigned id. It is being assigned ID 6.
CRITICAL WARNING: [PFM-48] Interrupt pin /xlconcat_0/In7 does not have an assigned id. It is being assigned ID 7.
CRITICAL WARNING: [PFM-48] Interrupt pin /xlconcat_0/In8 does not have an assigned id. It is being assigned ID 8.
CRITICAL WARNING: [PFM-48] Interrupt pin /xlconcat_0/In9 does not have an assigned id. It is being assigned ID 9.
CRITICAL WARNING: [PFM-48] Interrupt pin /xlconcat_0/In10 does not have an assigned id. It is being assigned ID 10.
CRITICAL WARNING: [PFM-48] Interrupt pin /xlconcat_0/In11 does not have an assigned id. It is being assigned ID 11.
CRITICAL WARNING: [PFM-48] Interrupt pin /xlconcat_0/In12 does not have an assigned id. It is being assigned ID 12.
CRITICAL WARNING: [PFM-48] Interrupt pin /xlconcat_0/In13 does not have an assigned id. It is being assigned ID 13.
CRITICAL WARNING: [PFM-48] Interrupt pin /xlconcat_0/In14 does not have an assigned id. It is being assigned ID 14.
CRITICAL WARNING: [PFM-48] Interrupt pin /xlconcat_0/In15 does not have an assigned id. It is being assigned ID 15.
INFO: [Project 1-1042] Successfully generated hpfm file
write_project_tcl: Time (s): cpu = 00:00:18 ; elapsed = 00:00:24 . Memory (MB): peak = 2728.289 ; gain = 8.035 ; free physical = 5311 ; free virtual = 6966
INFO: [Vivado 12-12466] The Hardware Platform can be used for Hardware and Hardware Emulation
INFO: [Vivado 12-4896] Successfully created Hardware Platform: /home/vijayguddad/PYNQ/sdbuild/build/Pynq-Z1/petalinux_bsp/hardware_project/pynqz1.xsa
write_hw_platform: Time (s): cpu = 00:00:21 ; elapsed = 00:00:30 . Memory (MB): peak = 2728.289 ; gain = 8.035 ; free physical = 5296 ; free virtual = 6962
INFO: [Vivado 12-12082] Found metadata file: xsa.json
INFO: [Vivado 12-6078] Validating platform properties…
INFO: [Vivado 12-6079] Validating unified platform…
INFO: [Vivado 12-6073] Validating ‘pre_synth’ platform state…
INFO: [Vivado 12-6077] Validating platform files…
INFO: [Vivado 12-6066] Finished running validate_hw_platform for file: ‘./pynqz1.xsa’
error copying “./pynqz1/pynqz1.srcs/sources_1/bd/pynqz1/hw_handoff/pynqz1.hwh”: no such file or directory
while executing
“file copy -force ./${overlay_name}/${overlay_name}.srcs/sources_1/bd/${design_name}/hw_handoff/${design_name}.hwh ${overlay_name}.hwh”
(file “build_bitstream.tcl” line 31)
INFO: [Common 17-206] Exiting Vivado at Tue Sep 21 11:59:23 2021…
makefile:13: recipe for target ‘bitstream’ failed
make[1]: *** [bitstream] Error 1
make[1]: Leaving directory ‘/home/vijayguddad/PYNQ/sdbuild/build/Pynq-Z1/petalinux_bsp/hardware_project’
Makefile:338: recipe for target ‘/home/vijayguddad/PYNQ/sdbuild/build/Pynq-Z1/petalinux_bsp/xilinx-pynqz1-2021.1.1.bsp’ failed
make: *** [/home/vijayguddad/PYNQ/sdbuild/build/Pynq-Z1/petalinux_bsp/xilinx-pynqz1-2021.1.1.bsp] Error 2

error copying “./pynqz1/pynqz1.srcs/sources_1/bd/pynqz1/hw_handoff/pynqz1.hwh”: no such file or directory

It looks like you are using 2021.1. PYNQ v2.6 image build is only verified with 2020.1.

Cathal

Okay thank you for your quick reply, I thought the same though tried few things it didn’t work. Now installing Vivado 2020.1, may I know is there any document about building Pynq overlay for custom ultrascale+ board?

Try here:

Cathal

Cathal thank you.

Here is the error I got this error.
Can anyone have any idea?

Thank you in advance for your help.

Vivado 2020.1 version
petalinux 2020.1

Initially reported by: Peter Ogden
URL:


Now configured for “arm-unknown-linux-gnueabihf”
gmake[1]: Leaving directory ‘/home/vijayguddad/PYNQ/sdbuild/build/gcc-mb’
gmake[1]: Entering directory ‘/home/vijayguddad/PYNQ/sdbuild/build/gcc-mb’
[INFO ] Performing some trivial sanity checks
[WARN ] Number of open files 1024 may not be sufficient to build the toolchain; increasing to 2048
[INFO ] Build started 20210927.123401
[INFO ] Building environment variables
[WARN ] Directory ‘/home/vijayguddad/src’ does not exist.
[WARN ] Will not save downloaded tarballs to local storage.
[EXTRA] Preparing working directories
[EXTRA] Installing user-supplied crosstool-NG configuration
[EXTRA] =================================================================
[EXTRA] Dumping internal crosstool-NG configuration
[EXTRA] Building a toolchain for:
[EXTRA] build = x86_64-pc-linux-gnu
[EXTRA] host = x86_64-pc-linux-gnu
[EXTRA] target = arm-unknown-linux-gnueabihf
[EXTRA] Dumping internal crosstool-NG configuration: done in 0.11s (at 00:04)
[INFO ] =================================================================
[INFO ] Retrieving needed toolchain components’ tarballs
[EXTRA] Retrieving ‘automake-1.16.1’
[EXTRA] Verifying SHA512 checksum for ‘automake-1.16.1.tar.xz’
[EXTRA] Retrieving ‘linux-4.20.8’
[EXTRA] Verifying SHA512 checksum for ‘linux-4.20.8.tar.xz’
[EXTRA] Retrieving ‘zlib-1.2.11’
[EXTRA] Verifying SHA512 checksum for ‘zlib-1.2.11.tar.xz’
[EXTRA] Retrieving ‘gmp-6.1.2’
[EXTRA] Verifying SHA512 checksum for ‘gmp-6.1.2.tar.xz’
[EXTRA] Retrieving ‘mpfr-4.0.2’
[EXTRA] Verifying SHA512 checksum for ‘mpfr-4.0.2.tar.xz’
[EXTRA] Retrieving ‘isl-0.20’
[EXTRA] Verifying SHA512 checksum for ‘isl-0.20.tar.xz’
[WARN ] Downloaded file isl-0.20.tar.xz reference digest not available
[EXTRA] Retrieving ‘mpc-1.1.0’
[EXTRA] Verifying SHA512 checksum for ‘mpc-1.1.0.tar.gz’
[EXTRA] Retrieving ‘expat-2.3.0’
[ERROR] expat: download failed
[ERROR]
[ERROR] >>
[ERROR] >> Build failed in step ‘Retrieving needed toolchain components’ tarballs’
[ERROR] >> called in step ‘(top-level)’
[ERROR] >>
[ERROR] >> Error happened in: CT_Abort[scripts/functions@487]
[ERROR] >> called from: CT_DoFetch[scripts/functions@2103]
[ERROR] >> called from: CT_PackageRun[scripts/functions@2063]
[ERROR] >> called from: CT_Fetch[scripts/functions@2174]
[ERROR] >> called from: do_expat_get[scripts/build/companion_libs/210-expat.sh@12]
[ERROR] >> called from: do_companion_libs_get[scripts/build/companion_libs.sh@15]
[ERROR] >> called from: main[scripts/crosstool-NG.sh@648]
[ERROR] >>
[ERROR] >> For more info on this error, look at the file: ‘build.log’
[ERROR] >> There is a list of known issues, some with workarounds, in:
[ERROR] >> Known issues
[ERROR] >>
[ERROR] >> If you feel this is a bug in crosstool-NG, report it at:
[ERROR] >> Issues · crosstool-ng/crosstool-ng · GitHub
[ERROR] >>
[ERROR] >> Make sure your report includes all the information pertinent to this issue.
[ERROR] >> Read the bug reporting guidelines here:
[ERROR] >> Support
[ERROR]
[ERROR] (elapsed: 0:16.87)
[00:18] / /opt/crosstool-ng/bin/ct-ng:261: recipe for target ‘build’ failed
gmake[1]: *** [build] Error 1
gmake[1]: Leaving directory ‘/home/vijayguddad/PYNQ/sdbuild/build/gcc-mb’
/home/vijayguddad/PYNQ/sdbuild/packages/gcc-mb/Makefile:26: recipe for target ‘/home/vijayguddad/PYNQ/sdbuild/build/gcc-mb/native/arm-unknown-linux-gnueabihf’ failed
make: *** [/home/vijayguddad/PYNQ/sdbuild/build/gcc-mb/native/arm-unknown-linux-gnueabihf] Error 2