Vitis Error

Hello, I’m experiencing issues when building a platform project on Vitis. I then try to create an application project and error: [common 17-48] appears. Is this because the platform project contained errors when building?
These are the errors that come up in the console:
make[2]: *** [Makefile:31: coresightps_dcc_comp_libs] Error 1
make[1]: *** [Makefile:30: ps7_cortexa9_0/libsrc/coresightps_dcc_v1_7/src/make.libs] Error 2
make: *** [Makefile:30: zynq_fsbl_bsp/ps7_cortexa9_0/lib/libxil.a] Error 2
arm-xilinx-eabi-gcc.exe: fatal error: cannot execute ‘f:/vivadovitis/vitis/2020.1/gnu/aarch32/nt/gcc-arm-none-eabi/x86_64-oesdk-mingw32/usr/bin/arm-xilinx-eabi/…/…/libexec/arm-xilinx-eabi/gcc/arm-xilinx-eabi/9.2.0/as.exe’: CreateProcess: No such file or directory
compilation terminated.
make[1]: *** [Makefile:31: coresightps_dcc_comp_libs] Error 1
make: *** [Makefile:30: ps7_cortexa9_0/libsrc/coresightps_dcc_v1_7/src/make.libs] Error 2

This is vivado and vitis version 2020.1 and PYNQ-Z2-v2.6
My project is just creating a simple NAND gate using a PYNQ-Z2 board with 2 switches as input and an LED as the output following a youtube tutorial but I can’t get the application to function. Any support on how to solve these errors would be greatly appreciated.
Thanks, Eoin.

1 Like

This build issue has been solved.

It might be more helpful if you provide your solution if a user later found the same issue.

hello you can try this below link to solve the makefile error
https://support.xilinx.com/s/article/75527?language=en_US&_ga=2.57421868.787517270.1709719278-1558940436.1706590028
or else copy and paste some other makefile into this in vitis platform project in 2 locations of the respective ip makefiles.