VIVADO block port design question

Thank you for your reply, we based on the teaching of your reply,

It is found that the “AXI4-Stream Data FIFO” link will conflict with the input and output interface we designed (sda), so we refer to another link

, there is no “AXI4-Stream Data FIFO” in this teaching, which can make “sda” connect to the input and output of DMA smoothly (Fig.1), but according to this teaching to the end we have the following two serious warnings (Fig.2).

After some searching we found that TLAST seems to be related to “hls::axis<float, 0, 0, 0>” according to this link
https://github.com/Xilinx/Vitis-HLS-Introductory-Examples/blob/master/Interface/Streaming/using_axi_stream_with_struct/example_test.cpp,
However, the programming language we wrote is C language, and I don’t know if it can match.


1 Like